Browse Source

Easier override of FILWIDTH_PIN

pull/1/head
Scott Lahteine 7 years ago
parent
commit
65a0212866
  1. 5
      Marlin/pins_CHEAPTRONICv2.h
  2. 5
      Marlin/pins_PRINTRBOARD.h
  3. 5
      Marlin/pins_PRINTRBOARD_REVF.h
  4. 5
      Marlin/pins_RAMBO.h
  5. 5
      Marlin/pins_SCOOVO_X9H.h

5
Marlin/pins_CHEAPTRONICv2.h

@ -111,9 +111,12 @@
// //
// Other board specific pins // Other board specific pins
// //
#define FILWIDTH_PIN 37
#define LED_PIN 13 #define LED_PIN 13
#define SPINDLE_ENABLE_PIN 4 #define SPINDLE_ENABLE_PIN 4
#define FAN_PIN 3 #define FAN_PIN 3
#define PS_ON_PIN 45 #define PS_ON_PIN 45
#define KILL_PIN 46 #define KILL_PIN 46
#ifndef FILWIDTH_PIN
#define FILWIDTH_PIN 37 // should be Analog Input (0-15)
#endif

5
Marlin/pins_PRINTRBOARD.h

@ -99,7 +99,10 @@
// Misc. Functions // Misc. Functions
// //
#define SDSS 26 #define SDSS 26
#define FILWIDTH_PIN 2 // Analog Input
#ifndef FILWIDTH_PIN
#define FILWIDTH_PIN 2 // Analog Input
#endif
// //
// LCD / Controller // LCD / Controller

5
Marlin/pins_PRINTRBOARD_REVF.h

@ -102,7 +102,10 @@
// Misc. Functions // Misc. Functions
// //
#define SDSS 20 // Teensylu pin mapping #define SDSS 20 // Teensylu pin mapping
#define FILWIDTH_PIN 2 // Analog Input
#ifndef FILWIDTH_PIN
#define FILWIDTH_PIN 2 // Analog Input
#endif
// //
// LCD / Controller // LCD / Controller

5
Marlin/pins_RAMBO.h

@ -135,10 +135,13 @@
// //
#define SDSS 53 #define SDSS 53
#define LED_PIN 13 #define LED_PIN 13
#define FILWIDTH_PIN 3 // Analog Input
#define PS_ON_PIN 4 #define PS_ON_PIN 4
#define CASE_LIGHT_PIN 46 #define CASE_LIGHT_PIN 46
#ifndef FILWIDTH_PIN
#define FILWIDTH_PIN 3 // Analog Input
#endif
// //
// LCD / Controller // LCD / Controller
// //

5
Marlin/pins_SCOOVO_X9H.h

@ -118,7 +118,10 @@
#define SDSS 53 #define SDSS 53
#define LED_PIN 13 #define LED_PIN 13
#define PS_ON_PIN 4 #define PS_ON_PIN 4
#define FILWIDTH_PIN 3 // Analog Input
#ifndef FILWIDTH_PIN
#define FILWIDTH_PIN 3 // Analog Input
#endif
// //
// LCD / Controller // LCD / Controller

Loading…
Cancel
Save