From db29115cf3a3692dad7b71b474ae1edbff3e9d04 Mon Sep 17 00:00:00 2001 From: Sergey Date: Wed, 27 May 2020 15:29:27 +0300 Subject: [PATCH] =?UTF-8?q?=D0=92=D0=BE=D0=B7=D0=BC=D0=BE=D0=B6=D0=BD?= =?UTF-8?q?=D0=BE=D1=81=D1=82=D1=8C=20=D0=B8=D1=81=D0=BF=D0=BE=D0=BB=D1=8C?= =?UTF-8?q?=D0=B7=D0=BE=D0=B2=D0=B0=D1=82=D1=8C=20SPI=20=D1=84=D0=BB=D0=B5?= =?UTF-8?q?=D1=88=20=D0=B4=D0=BB=D1=8F=20EEPROM=20=D0=9F=D0=BE=D0=B4=D0=B4?= =?UTF-8?q?=D0=B5=D1=80=D0=B6=D0=BA=D0=B0=20sd=20=D0=BA=D0=B0=D1=80=D1=82?= =?UTF-8?q?=20SDv2=20=D0=97=D0=B0=D0=B3=D1=80=D1=83=D0=B7=D0=BA=D0=B0=20?= =?UTF-8?q?=D0=BF=D1=80=D0=BE=D1=88=D0=B8=D0=B2=D0=BA=D0=B8=20=D0=BF=D0=BE?= =?UTF-8?q?=20WIFI?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Marlin/Configuration.h | 40 ++- Marlin/src/HAL/STM32F1/HAL.h | 10 +- Marlin/src/HAL/STM32F1/eeprom.cpp | 12 +- Marlin/src/HAL/STM32F1/eeprom_i2c_at24.cpp | 198 +++++++++++++ Marlin/src/HAL/STM32F1/eeprom_spi_w25q.cpp | 272 ++++++++++++++++++ Marlin/src/feature/touch/xpt2046.cpp | 20 +- Marlin/src/feature/touch/xpt2046.h | 3 + Marlin/src/libs/fatfs/ff.cpp | 4 +- Marlin/src/libs/fatfs/sdio_driver.cpp | 20 +- Marlin/src/libs/fatfs/sdio_driver.h | 13 + Marlin/src/module/mks_wifi/mks_wifi.cpp | 7 + Marlin/src/module/mks_wifi/mks_wifi.h | 2 + Marlin/src/module/mks_wifi/mks_wifi_sd.cpp | 14 + Marlin/src/module/mks_wifi/small_cmsis.h | 129 ++++++++- Marlin/src/pins/stm32f1/pins_MKS_ROBIN_NANO.h | 1 + README.md | 158 +++------- .../PlatformIO/scripts/mks_robin_nano.py | 6 + firmware/2208/Robin_nano35.bin | Bin 250924 -> 253380 bytes firmware/bootloader/fb_4s_bootloader.bin | Bin 0 -> 28672 bytes firmware/std/Robin_nano35.bin | Bin 250924 -> 253380 bytes platformio.ini | 4 +- 21 files changed, 773 insertions(+), 140 deletions(-) create mode 100644 Marlin/src/HAL/STM32F1/eeprom_i2c_at24.cpp create mode 100644 Marlin/src/HAL/STM32F1/eeprom_spi_w25q.cpp create mode 100644 firmware/bootloader/fb_4s_bootloader.bin diff --git a/Marlin/Configuration.h b/Marlin/Configuration.h index 0f2e9d7711..6800797d49 100644 --- a/Marlin/Configuration.h +++ b/Marlin/Configuration.h @@ -1459,14 +1459,48 @@ //#define DISABLE_M503 // Saves ~2700 bytes of PROGMEM. Disable for release! #define EEPROM_CHITCHAT // Give feedback on EEPROM commands. Disable to save PROGMEM. //#define EEPROM_BOOT_SILENT // Keep M503 quiet and only give errors during first load + #if ENABLED(EEPROM_SETTINGS) +/* +MKS Robin EEPROM: +EEPROM_SD +EEPROM_AT24C16 +EEPROM_W25Q +*/ +#define EEPROM_SD + +#if ENABLED(EEPROM_AT24C16) +#undef SDCARD_EEPROM_EMULATION +#undef USE_REAL_EEPROM +#undef FLASH_EEPROM_EMULATION +#undef SRAM_EEPROM_EMULATION +#define I2C_EEPROM_AT24C16 +#define USE_WIRED_EEPROM 1 +#define E2END (2*1024 - 1) +#endif + +#if ENABLED(EEPROM_W25Q) +#undef SDCARD_EEPROM_EMULATION +#undef USE_REAL_EEPROM +#undef FLASH_EEPROM_EMULATION +#undef SRAM_EEPROM_EMULATION +#undef I2C_EEPROM_AT24C16 +#define SPI_EEPROM_W25Q +#define SPI_EEPROM_OFFSET 0x700000 +#define USE_WIRED_EEPROM 1 +#define E2END (2*1024 - 1) +#endif + +#if ENABLED(EEPROM_SD) #define SDCARD_EEPROM_EMULATION #undef USE_REAL_EEPROM #undef FLASH_EEPROM_EMULATION #undef SRAM_EEPROM_EMULATION -//#define USE_WIRED_EEPROM 1 -//#define I2C_EEPROM_AT24C16 -//#define E2END (2*1024 - 1) +#undef I2C_EEPROM_AT24C16 +#undef SPI_EEPROM_W25Q +#undef USE_WIRED_EEPROM +#endif + #define EEPROM_AUTO_INIT // Init EEPROM automatically on any errors. #endif diff --git a/Marlin/src/HAL/STM32F1/HAL.h b/Marlin/src/HAL/STM32F1/HAL.h index 6bb360faeb..b87800c194 100644 --- a/Marlin/src/HAL/STM32F1/HAL.h +++ b/Marlin/src/HAL/STM32F1/HAL.h @@ -257,16 +257,16 @@ static int freeMemory() { * Wire library should work for i2c EEPROMs. */ -#if ENABLED(I2C_EEPROM_AT24C16) -uint8_t eeprom_read_byte(uint16_t *pos); -void eeprom_write_byte(uint16_t *pos, unsigned char value); +#if ANY(I2C_EEPROM_AT24C16, SPI_EEPROM_W25Q) void eeprom_hw_init(void); -#else +void eeprom_hw_deinit(void); +#endif uint8_t eeprom_read_byte(uint8_t *pos); void eeprom_write_byte(uint8_t *pos, unsigned char value); void eeprom_read_block(void *__dst, const void *__src, size_t __n); void eeprom_update_block(const void *__src, void *__dst, size_t __n); -#endif + + // diff --git a/Marlin/src/HAL/STM32F1/eeprom.cpp b/Marlin/src/HAL/STM32F1/eeprom.cpp index 8ad70291a4..48daffc4f0 100644 --- a/Marlin/src/HAL/STM32F1/eeprom.cpp +++ b/Marlin/src/HAL/STM32F1/eeprom.cpp @@ -27,6 +27,7 @@ #include "../shared/eeprom_api.h" bool PersistentStore::access_start() { + DEBUG("EEPROM start"); #if ENABLED(SPI_EEPROM) #if SPI_CHAN_EEPROM1 == 1 SET_OUTPUT(BOARD_SPI1_SCK_PIN); @@ -36,13 +37,20 @@ bool PersistentStore::access_start() { #endif spiInit(0); #endif - #if ENABLED(I2C_EEPROM_AT24C16) + + #if ANY(I2C_EEPROM_AT24C16, SPI_EEPROM_W25Q) eeprom_hw_init(); #endif return true; } -bool PersistentStore::access_finish() { return true; } +bool PersistentStore::access_finish() { + #if ANY(I2C_EEPROM_AT24C16, SPI_EEPROM_W25Q) + eeprom_hw_deinit(); + #endif + + return true; + } bool PersistentStore::write_data(int &pos, const uint8_t *value, size_t size, uint16_t *crc) { while (size--) { diff --git a/Marlin/src/HAL/STM32F1/eeprom_i2c_at24.cpp b/Marlin/src/HAL/STM32F1/eeprom_i2c_at24.cpp new file mode 100644 index 0000000000..2131934e8d --- /dev/null +++ b/Marlin/src/HAL/STM32F1/eeprom_i2c_at24.cpp @@ -0,0 +1,198 @@ +/** + +AT24C16, 16K SERIAL EEPROM: +Internally organized with 128 pages of 16 bytes each (2048 bytes) + +16K requires an 11-bit data word address for random word addressing. + +The 16K does not use any device address bits but instead the 3 bits are used for mem- +ory page addressing. These page addressing bits on the 4K, 8K and 16K devices +should be considered the most significant bits of the data word address which follows. +The A0, A1 and A2 pins are no connect. + +*/ +#include "../../inc/MarlinConfig.h" + +#if ENABLED(I2C_EEPROM_AT24C16) + +#include "../HAL.h" +#include "../../module/mks_wifi/small_cmsis.h" +#include "../../module/mks_wifi/dwt.h" + +#define DEV_ADDR 0xA0 +#define FSMC_DISABLE RCC->AHBENR &= ~RCC_AHBENR_FSMCEN //Конфликт на ноге FSMC_NADV с I2C. На время передачи приходится отключать FSMC +#define FSMC_RESTORE RCC->AHBENR |= RCC_AHBENR_FSMCEN; + +#define I2C_TIMEOUT 2000 //таймаут на ожидание опереций I2C. + +#define CHECK_TIMEOUT do{if(dwt_get_timeout() == 0){ERROR("Timeout");return 0;}}while(0) + +static bool waitSRBitSet(uint32_t Bit); +static uint8_t i2c_write(const uint8_t hw_adr, uint8_t *data, uint32_t len); +static uint8_t i2c_read(const uint8_t hw_adr, uint16_t addr, uint8_t *data, uint32_t len); + +void eeprom_hw_deinit(void){ + DEBUG("Finish I2C"); +} + +void eeprom_hw_init(void){ +/* +PB6 SCL Alternate function open drain +PB7 SDA Alternate function open drain +*/ + DEBUG("Init I2C"); + dwt_init(); + + RCC->APB2ENR |= RCC_APB2ENR_IOPBEN|RCC_APB2ENR_AFIOEN; + PORTB->CRL |= (GPIO_CRL_MODE6|GPIO_CRL_MODE7|GPIO_CRL_CNF6|GPIO_CRL_CNF7); + + AFIO->MAPR2 |= AFIO_MAPR2_FSMC_NADV_REMAP; //Remap по факту не работает, бит не устанавливается. + RCC->APB1ENR|= RCC_APB1ENR_I2C1EN; + + I2C1->CR1 = I2C_CR1_SWRST; + I2C1->CR1 = 0; + + //Тактовая 72Mhz, PCLK 36Mhz + I2C1->CCR = (180 << I2C_CCR_CCR_Pos); + I2C1->CR2 = (36 << I2C_CR2_FREQ_Pos); + I2C1->TRISE = 37; + I2C1->CR1 = I2C_CR1_PE; +} + +void eeprom_write_byte(uint8_t *pos, unsigned char value){ + uint8_t data[2]; + + FSMC_DISABLE; + + data[0]=(uint8_t)((unsigned)pos % 256); + data[1]=(uint8_t)(value); + + if(!i2c_write((DEV_ADDR+(uint8_t)(((unsigned)pos/256)<<1)),data,2)){ + ERROR("write failed"); + } + safe_delay(20); //Задержка на время пока eeprom пишет. + FSMC_RESTORE; +} + +uint8_t eeprom_read_byte(uint8_t *pos) { + uint8_t data; + + FSMC_DISABLE; + + if(!i2c_read(DEV_ADDR, (uint16_t)((unsigned)pos), &data, 1)){ + ERROR("read failed"); + data=0; + } + + safe_delay(1); //небольшая пауза перед включением FSMC, чтобы состояние STOP успело выставиться на линии. + FSMC_RESTORE; + return data; +} + +void eeprom_read_block(void *__dst, const void *__src, size_t __n){ + ERROR("Call to missing function"); +}; + +void eeprom_update_block(const void *__src, void *__dst, size_t __n){ + ERROR("Call to missing function"); +}; + +static uint8_t i2c_write(const uint8_t hw_adr, uint8_t *data, uint32_t len){ + + DEBUG("i2c write at %d val %0X",data[0],data[1]); + + dwt_settimeout(I2C_TIMEOUT); + DEBUG("Wait busy"); + while(I2C1->SR2 & I2C_SR2_BUSY) {CHECK_TIMEOUT;}; + + + I2C1->CR1 = I2C_CR1_PE | I2C_CR1_START; + dwt_settimeout(I2C_TIMEOUT); + DEBUG("Wait SB"); + while(!(I2C1->SR1 & I2C_SR1_SB)) {CHECK_TIMEOUT;}; + I2C1->DR = (hw_adr & 0xFE); + + if(!waitSRBitSet(I2C_SR1_ADDR)) return false; + (void)I2C1->SR2; + + while(len--){ + if(!waitSRBitSet(I2C_SR1_TXE)) return false; + I2C1->DR = *data++; + } + + dwt_settimeout(I2C_TIMEOUT); + DEBUG("Wait BTF"); + while(!((I2C1->SR1 & I2C_SR1_TXE) && (I2C1->SR1 & I2C_SR1_BTF))) {CHECK_TIMEOUT;}; + I2C1->CR1 = I2C_CR1_PE | I2C_CR1_STOP; + + return 1; + } + +static uint8_t i2c_read(const uint8_t hw_adr, uint16_t addr, uint8_t *data, uint32_t len){ + + dwt_settimeout(I2C_TIMEOUT); + DEBUG("Wait busy"); + while(I2C1->SR2 & I2C_SR2_BUSY) {CHECK_TIMEOUT;}; + + //Запись адреса + I2C1->CR1 = I2C_CR1_PE | I2C_CR1_START; + + dwt_settimeout(I2C_TIMEOUT); + DEBUG("Wait SB"); + while(!(I2C1->SR1 & I2C_SR1_SB)) {CHECK_TIMEOUT;}; //Условие старт + + + I2C1->DR = ((hw_adr & 0xFE) + ((addr/256) << 1)); + if(!waitSRBitSet(I2C_SR1_ADDR)) return false; //i2c адрес отправлен + I2C1->SR2; + + if(!waitSRBitSet(I2C_SR1_TXE)) return false; + I2C1->DR = addr%256; //адрес в памяти отправлен + + dwt_settimeout(I2C_TIMEOUT); + DEBUG("Wait BTF"); + while(!((I2C1->SR1 & I2C_SR1_TXE) && (I2C1->SR1 & I2C_SR1_BTF))) {CHECK_TIMEOUT;}; + + //Чтение + I2C1->CR1 = I2C_CR1_PE | I2C_CR1_START | I2C_CR1_ACK; + + dwt_settimeout(I2C_TIMEOUT); + DEBUG("Wait SB"); + while(!(I2C1->SR1 & I2C_SR1_SB)) {CHECK_TIMEOUT;}; + I2C1->DR = hw_adr|1; + + if(!waitSRBitSet(I2C_SR1_ADDR)) return false; + I2C1->SR2; + I2C1->CR1 = I2C_CR1_PE | I2C_CR1_STOP; + + if(!waitSRBitSet(I2C_SR1_RXNE)) return false; + *data = I2C1->DR; + + return true; + } + + +static bool waitSRBitSet(uint32_t Bit){ + uint32_t sr; + + dwt_settimeout(I2C_TIMEOUT); + do{ + sr = I2C1->SR1; + if(sr & ( I2C_SR1_AF | I2C_SR1_ARLO | I2C_SR1_BERR)){ + I2C1->CR1 = I2C_CR1_PE | I2C_CR1_STOP; + I2C1->SR1 = 0; + ERROR("I2C Error flag %0X",sr); + return false; + } + if(dwt_get_timeout() == 0){ + ERROR("Timeout %0X",Bit); + return false; + } + + }while(!(sr & Bit)); + + return true; +}; + + +#endif // I2C_EEPROM diff --git a/Marlin/src/HAL/STM32F1/eeprom_spi_w25q.cpp b/Marlin/src/HAL/STM32F1/eeprom_spi_w25q.cpp new file mode 100644 index 0000000000..495500e3ba --- /dev/null +++ b/Marlin/src/HAL/STM32F1/eeprom_spi_w25q.cpp @@ -0,0 +1,272 @@ +/** +MKS Robin Nano +U5 W25Q64BV, 16K SERIAL EEPROM: + + +*/ +#include "../../inc/MarlinConfig.h" + +#if ENABLED(SPI_EEPROM_W25Q) + +#include "../HAL.h" +#include "../../module/mks_wifi/small_cmsis.h" +#include "../../module/mks_wifi/dwt.h" + +#define SPI_DIR_READ 0 +#define SPI_DIR_WRITE 1 + +#define W25X_WriteEnable 0x06 +#define W25X_WriteDisable 0x04 +#define W25X_ReadStatusReg 0x05 +#define W25X_WriteStatusReg 0x01 +#define W25X_ReadData 0x03 +#define W25X_FastReadData 0x0B +#define W25X_PageProgram 0x02 +#define W25X_BlockErase 0xD8 +#define W25X_SectorErase 0x20 +#define W25X_ChipErase 0xC7 +#define W25X_ReleasePowerDown 0xAB +#define W25X_DeviceID 0xAB +#define W25X_ManufactDeviceID 0x90 +#define W25X_JedecDeviceID 0x9F + +#define SPI_EEPROM_SIZE 2048 +#define SPIFLASH_PAGESIZE 256 +#define SPI_TIMEOUT 2000 //таймаут на ожидание опереций +#define CHECK_TIMEOUT do{if(dwt_get_timeout() == 0){ERROR("Timeout");return 0;}}while(0) + +#define SPI2_START PORTB->BSRR=GPIO_BSRR_BR12 +#define SPI2_STOP PORTB->BSRR=GPIO_BSRR_BS12 + +volatile uint8_t spi_eeprom[SPI_EEPROM_SIZE]; + +uint8_t spi_send(uint8_t data); +void spi_read(uint32_t addr, uint8_t *buf, uint32_t len); +void spi_write(uint32_t addr, uint8_t *buf, uint32_t len); +uint8_t spi_read_status(void); + + +void eeprom_hw_init(void){ + uint32_t tmp; + uint8_t device_id, manuf_id; + uint16_t chip_id; + +/* +SPI2 +MISO - PB14 Input floating / Input pull-up +MOSI - PB15 Alternate function push-pull +SCK - PB13 Alternate function push-pull +CS - PB12 Out push-pull +*/ + + DEBUG("Start SPI"); + + dwt_init(); + + RCC->APB2ENR |= RCC_APB2ENR_IOPBEN|RCC_APB2ENR_AFIOEN; + + tmp = PORTB->CRH; + tmp |= (GPIO_CRH_MODE13|GPIO_CRH_MODE15|GPIO_CRH_CNF13|GPIO_CRH_CNF15); + tmp &= ~(GPIO_CRH_MODE14|GPIO_CRH_CNF14); + tmp |= GPIO_CRH_CNF14_0; + tmp &= ~GPIO_CRH_CNF12; + tmp |= GPIO_CRH_MODE12; + PORTB->CRH = tmp; + + SPI2_STOP; + + RCC->APB1ENR|= RCC_APB1ENR_SPI2EN; + + SPI2->CR1 = SPI_CR1_SSM|\ + SPI_CR1_SSI|\ + (6 << SPI_CR1_BR_Pos)|\ + SPI_CR1_CPHA|\ + SPI_CR1_MSTR; + + SPI2->CR1 |= SPI_CR1_SPE; + + //Wake up + SPI2_START; + spi_send(W25X_ReleasePowerDown); + SPI2_STOP; + + for(uint32_t i=0; i<0x1000; i++){NOP;} //3us для выхода из power down + + //Device ID + SPI2_START; + spi_send(W25X_DeviceID); + for(uint32_t i=0; i<3; i++){spi_send(0);} + device_id = spi_send(0); + SPI2_STOP; + + //Jedec ID + SPI2_START; + spi_send(W25X_JedecDeviceID); + manuf_id = spi_send(0); + chip_id = spi_send(0) << 8; + chip_id |= spi_send(0); + DEBUG("W25Q Device ID %0X Manuf ID: %0X Chip ID %0X",device_id,manuf_id,chip_id); + SPI2_STOP; + + spi_read(SPI_EEPROM_OFFSET,(uint8_t *)spi_eeprom,SPI_EEPROM_SIZE); +} + +void eeprom_hw_deinit(void){ + + DEBUG("Finish SPI"); + //Write Enable + SPI2_START; + spi_send(W25X_WriteEnable); + SPI2_STOP; + + while (spi_read_status() & 1){ //Busy + NOP; + } + + //Erase 4K + SPI2_START; + spi_send(W25X_SectorErase); + spi_send((SPI_EEPROM_OFFSET >> 16) & 0xFF); + spi_send((SPI_EEPROM_OFFSET >> 8) & 0xFF); + spi_send(SPI_EEPROM_OFFSET & 0xFF); + SPI2_STOP; + + while (spi_read_status() & 1){ //Busy + NOP; + } + //write + spi_write(SPI_EEPROM_OFFSET,(uint8_t *)spi_eeprom,SPI_EEPROM_SIZE); + //deinit spi + +} + +void eeprom_write_byte(uint8_t *pos, unsigned char value){ + uint16_t addr=(unsigned)pos; + + if(addr < SPI_EEPROM_SIZE){ + spi_eeprom[addr]=value; + }else{ + ERROR("Write out of SPI size: %d %d",addr,SPI_EEPROM_SIZE); + } +} + +uint8_t eeprom_read_byte(uint8_t *pos) { + uint16_t addr=(unsigned)pos; + + if(addr < SPI_EEPROM_SIZE){ + return spi_eeprom[addr]; + }else{ + ERROR("Read out of SPI size: %d %d",addr,SPI_EEPROM_SIZE); + return 0; + } +} + +void eeprom_read_block(void *__dst, const void *__src, size_t __n){ + ERROR("Call to missing function"); +}; + +void eeprom_update_block(const void *__src, void *__dst, size_t __n){ + ERROR("Call to missing function"); +}; + + +uint8_t spi_send(uint8_t data){ + + while((SPI2->SR & SPI_SR_TXE) == 0){NOP;}; + SPI2->DR = data; + + while((SPI2->SR & SPI_SR_RXNE) == 0){NOP;}; + return SPI2->DR; +} + + +void spi_read(uint32_t addr, uint8_t *buf, uint32_t len){ + + if( (len == 0) || (len > SPI_EEPROM_SIZE) ){ + ERROR("Len size error: %d",len); + return; + } + + addr &= 0xFFFFFF; //24bit address + + SPI2_START; + if(len == 1){ + spi_send(W25X_ReadData); + spi_send((addr >> 16) & 0xFF); + spi_send((addr >> 8) & 0xFF); + spi_send(addr & 0xFF); + }else{ + spi_send(W25X_FastReadData); + spi_send((addr >> 16) & 0xFF); + spi_send((addr >> 8) & 0xFF); + spi_send(addr & 0xFF); + spi_send(0); + } + + while (len--){ + *buf++ = spi_send(0); + } + SPI2_STOP; +} + +void spi_write(uint32_t addr, uint8_t *buf, uint32_t len){ + + uint16_t bytes_in_page = SPIFLASH_PAGESIZE - (addr % SPIFLASH_PAGESIZE); + uint16_t offset = 0; + + addr &= 0xFFFFFF; //24bit address + + while (spi_read_status() & 1){ //Busy + NOP; + } + //Write Enable + + while (len > 0){ + uint16_t batch_size = (len <= bytes_in_page) ? len : bytes_in_page; + + SPI2_START; + spi_send(W25X_WriteEnable); + SPI2_STOP; + + while (spi_read_status() & 1){ //Busy + NOP; + } + + SPI2_START; + spi_send(W25X_PageProgram); + spi_send((addr >> 16) & 0xFF); + spi_send((addr >> 8) & 0xFF); + spi_send(addr & 0xFF); + + for (uint32_t i = 0; i < batch_size; i++){ + spi_send(((uint8_t*)buf)[offset + i]); + } + + SPI2_STOP; + + //wait till it's programmed + while (spi_read_status() & 2){ //Busy + NOP; + } + + addr += batch_size; + offset += batch_size; + len -= batch_size; + bytes_in_page = SPIFLASH_PAGESIZE; + } + +} + + +uint8_t spi_read_status(void){ + uint8_t data; + + SPI2_START; + spi_send(W25X_ReadStatusReg); + data = spi_send(0); + SPI2_STOP; + return data; +} + + +#endif // SPI_EEPROM_W25Q diff --git a/Marlin/src/feature/touch/xpt2046.cpp b/Marlin/src/feature/touch/xpt2046.cpp index 26e25950d8..186a13045a 100644 --- a/Marlin/src/feature/touch/xpt2046.cpp +++ b/Marlin/src/feature/touch/xpt2046.cpp @@ -43,18 +43,21 @@ XPT2046 touch; extern int8_t encoderDiff; -void XPT2046::init() { + +void XPT2046::begin() { SET_INPUT(TOUCH_MISO_PIN); SET_OUTPUT(TOUCH_MOSI_PIN); SET_OUTPUT(TOUCH_SCK_PIN); OUT_WRITE(TOUCH_CS_PIN, HIGH); +} - #if PIN_EXISTS(TOUCH_INT) - // Optional Pendrive interrupt pin - SET_INPUT(TOUCH_INT_PIN); - #endif +void XPT2046::end() { + SET_INPUT(TOUCH_MISO_PIN); + SET_INPUT(TOUCH_MOSI_PIN); + SET_INPUT(TOUCH_SCK_PIN); +} - // Read once to enable pendrive status pin +void XPT2046::init() { getInTouch(XPT2046_X); } @@ -78,7 +81,8 @@ uint8_t XPT2046::read_buttons() { y = uint16_t(((uint32_t(getInTouch(XPT2046_Y))) * tsoffsets[2]) >> 16) + tsoffsets[3]; if (!isTouched()) return 0; // Fingers must still be on the TS for a valid read. - if (y < 175 || y > 234) return 0; +//@ little more Y + if (y < 165 || y > 234) return 0; return WITHIN(x, 14, 77) ? EN_D : WITHIN(x, 90, 153) ? EN_A @@ -100,6 +104,7 @@ bool XPT2046::isTouched() { uint16_t XPT2046::getInTouch(const XPTCoordinate coordinate) { uint16_t data[3]; + begin(); OUT_WRITE(TOUCH_CS_PIN, LOW); const uint8_t coord = uint8_t(coordinate) | XPT2046_CONTROL | XPT2046_DFR_MODE; @@ -121,6 +126,7 @@ uint16_t XPT2046::getInTouch(const XPTCoordinate coordinate) { } WRITE(TOUCH_CS_PIN, HIGH); + end(); uint16_t delta01 = _MAX(data[0], data[1]) - _MIN(data[0], data[1]), delta02 = _MAX(data[0], data[2]) - _MIN(data[0], data[2]), diff --git a/Marlin/src/feature/touch/xpt2046.h b/Marlin/src/feature/touch/xpt2046.h index 7f8eece1f4..9eeddec523 100644 --- a/Marlin/src/feature/touch/xpt2046.h +++ b/Marlin/src/feature/touch/xpt2046.h @@ -41,6 +41,9 @@ enum XPTCoordinate : uint8_t { class XPT2046 { public: static void init(); + static void begin(); + static void end(); + static uint8_t read_buttons(); bool getTouchPoint(uint16_t &x, uint16_t &y); static bool isTouched(); diff --git a/Marlin/src/libs/fatfs/ff.cpp b/Marlin/src/libs/fatfs/ff.cpp index 563ec3b1fd..271e733738 100644 --- a/Marlin/src/libs/fatfs/ff.cpp +++ b/Marlin/src/libs/fatfs/ff.cpp @@ -6534,7 +6534,7 @@ static void putc_bfd (putbuff* pb, TCHAR c) WCHAR hs, wc; #if FF_LFN_UNICODE == 2 DWORD dc; - TCHAR *tp; + const TCHAR *tp; #endif #endif @@ -6576,7 +6576,7 @@ static void putc_bfd (putbuff* pb, TCHAR c) return; } } - tp = (TCHAR*)pb->bs; + tp = (const TCHAR*)pb->bs; dc = tchar2uni(&tp); /* UTF-8 ==> UTF-16 */ if (dc == 0xFFFFFFFF) return; /* Wrong code? */ wc = (WCHAR)dc; diff --git a/Marlin/src/libs/fatfs/sdio_driver.cpp b/Marlin/src/libs/fatfs/sdio_driver.cpp index 09ab05475d..ae097bba71 100644 --- a/Marlin/src/libs/fatfs/sdio_driver.cpp +++ b/Marlin/src/libs/fatfs/sdio_driver.cpp @@ -59,13 +59,15 @@ uint8_t SD_Cmd(uint8_t cmd, uint32_t arg, uint16_t response_type, uint32_t *resp return 0; } -//#pragma GCC push_options -//#pragma GCC optimize ("O0") uint32_t SD_transfer(uint8_t *buf, uint32_t blk, uint32_t cnt, uint32_t dir){ uint32_t trials; uint8_t cmd=0; uint8_t *ptr = buf; - + + if (SDCard.Type != SDCT_SDHC) { + blk = blk * 512; + } + trials=SDIO_DATA_TIMEOUT; while (transmit && trials--) {}; if(!trials) { @@ -158,7 +160,6 @@ uint32_t SD_transfer(uint8_t *buf, uint32_t blk, uint32_t cnt, uint32_t dir){ SDIO->ICR=SDIO_ICR_STATIC; return 0; }; -//#pragma GCC pop_options uint8_t SD_Init(void) { volatile uint32_t trials = 0x0000FFFF; @@ -199,6 +200,9 @@ uint8_t SD_Init(void) { return 41; }; + SDCard.Type = (response[0] & SD_HIGH_CAPACITY) ? SDCT_SDHC : SDCT_SDSC_V2; + DEBUG("Card type %d",SDCard.Type); + result = SD_Cmd(SD_CMD2,0x00,SDIO_RESP_LONG,(uint32_t*)response); //CMD2 CID R2 if (result != 0) { ERROR("CMD2: %d",result); @@ -270,6 +274,14 @@ uint8_t SD_Init(void) { SDIO->CLKCR=tempreg; #endif + if ((SDCard.Type != SDCT_SDHC)) { + result = SD_Cmd(SD_CMD_SET_BLOCKLEN, 512 ,SDIO_RESP_SHORT,(uint32_t*)response); //CMD16 + if (result != 0) { + ERROR("Error set block size"); + return 16; + } + } + DEBUG("SDINIT: ok"); return 0; }; diff --git a/Marlin/src/libs/fatfs/sdio_driver.h b/Marlin/src/libs/fatfs/sdio_driver.h index 9db28f2cb1..158f7cd877 100644 --- a/Marlin/src/libs/fatfs/sdio_driver.h +++ b/Marlin/src/libs/fatfs/sdio_driver.h @@ -60,12 +60,25 @@ typedef struct { uint8_t ake_seq_error; //Ошибка в последовательности аутентификации. } SD_Status_TypeDef; +// Card type +enum { + SDCT_UNKNOWN = 0x00, + SDCT_SDSC_V1 = 0x01, // Standard capacity SD card v1.0 + SDCT_SDSC_V2 = 0x02, // Standard capacity SD card v2.0 + SDCT_MMC = 0x03, // MMC + SDCT_SDHC = 0x04 // High capacity SD card (SDHC or SDXC) +}; + #define SDIO_4BIT_Mode 1 //#define SDIO_HIGH_SPEED 1 #define SDIO_DATA_TIMEOUT ((uint32_t)0x01000000) +// Mask for ACMD41 +#define SD_STD_CAPACITY ((uint32_t)0x00000000U) +#define SD_HIGH_CAPACITY ((uint32_t)0x40000000U) + // SDIO CMD response type #define SDIO_RESP_NONE 0x00 // No response #define SDIO_RESP_SHORT SDIO_CMD_WAITRESP_0 // Short response diff --git a/Marlin/src/module/mks_wifi/mks_wifi.cpp b/Marlin/src/module/mks_wifi/mks_wifi.cpp index 00dd772312..839d1b28d3 100644 --- a/Marlin/src/module/mks_wifi/mks_wifi.cpp +++ b/Marlin/src/module/mks_wifi/mks_wifi.cpp @@ -30,6 +30,13 @@ void mks_wifi_init(void){ safe_delay(1000); WRITE(MKS_WIFI_IO4, LOW); + #ifdef LIST_FILES_AT_STARTUP + mks_wifi_sd_deinit(); + mks_wifi_sd_init(); + mks_wifi_sd_ls(); + mks_wifi_sd_deinit(); + #endif + } diff --git a/Marlin/src/module/mks_wifi/mks_wifi.h b/Marlin/src/module/mks_wifi/mks_wifi.h index de6d5fc818..c7bfe84e33 100644 --- a/Marlin/src/module/mks_wifi/mks_wifi.h +++ b/Marlin/src/module/mks_wifi/mks_wifi.h @@ -12,6 +12,8 @@ #define WIFI_MODE_AP (uint8_t)1 +#define LIST_FILES_AT_STARTUP (uint8_t)1 + typedef struct { uint8_t type; diff --git a/Marlin/src/module/mks_wifi/mks_wifi_sd.cpp b/Marlin/src/module/mks_wifi/mks_wifi_sd.cpp index 808016a373..f39342ceda 100644 --- a/Marlin/src/module/mks_wifi/mks_wifi_sd.cpp +++ b/Marlin/src/module/mks_wifi/mks_wifi_sd.cpp @@ -260,6 +260,20 @@ void mks_wifi_start_file_upload(ESP_PROTOC_FRAME *packet){ ui.set_status((const char *)"Upload done",true); DEBUG("Upload ok"); BUZZ(1000,260); + + str[0]='0'; + str[1]=':'; + str[2]='/'; + + memcpy((uint8_t *)str+3,(uint8_t *)&packet->data[5],(packet->dataLen - 5)); + str[packet->dataLen - 5 + 3] = 0; + + if(!strcmp(str,"0:/Robin_Nano35.bin")){ + DEBUG("Firmware found, reboot"); + nvic_sys_reset(); + } + + }else{ ui.set_status((const char *)"Upload failed",true); DEBUG("Upload failed! File size: %d; Recieve %d; SD write %d",file_size,file_inc_size,file_size_writen); diff --git a/Marlin/src/module/mks_wifi/small_cmsis.h b/Marlin/src/module/mks_wifi/small_cmsis.h index 8a4d52bd0e..1ca98cd160 100644 --- a/Marlin/src/module/mks_wifi/small_cmsis.h +++ b/Marlin/src/module/mks_wifi/small_cmsis.h @@ -142,6 +142,18 @@ typedef struct __IO uint32_t MAPR2; } AFIO_TypeDef; +typedef struct +{ + __IO uint32_t CR1; + __IO uint32_t CR2; + __IO uint32_t SR; + __IO uint32_t DR; + __IO uint32_t CRCPR; + __IO uint32_t RXCRCR; + __IO uint32_t TXCRCR; + __IO uint32_t I2SCFGR; + __IO uint32_t I2SPR; +} SPI_TypeDef; #define PERIPH_BASE 0x40000000U /*!< Peripheral base address in the alias region */ @@ -161,7 +173,7 @@ typedef struct #define AFIOBASE (APB2PERIPH_BASE + 0x00000000U) #define CoreDebug_BASE (0xE000EDF0UL) /*!< Core Debug Base Address */ #define DWT_BASE (0xE0001000UL) /*!< DWT Base Address */ - +#define SPI2_BASE (APB1PERIPH_BASE + 0x00003800U) #define RCC ((RCC_TypeDef *)RCC_BASE) #define SDIO ((SDIO_TypeDef *)SDIO_BASE) @@ -177,6 +189,7 @@ typedef struct #define AFIO ((AFIO_TypeDef *)AFIOBASE) #define CoreDebug ((CoreDebug_Type *)CoreDebug_BASE) /*!< Core Debug configuration struct */ #define DWT ((DWT_Type *) DWT_BASE ) /*!< DWT configuration struct */ +#define SPI2 ((SPI_TypeDef *)SPI2_BASE) /******************************************************************************/ /* */ /* Universal Synchronous Asynchronous Receiver Transmitter */ @@ -1708,5 +1721,119 @@ typedef struct #define DWT_CTRL_CYCCNTENA_Pos 0U /*!< DWT CTRL: CYCCNTENA Position */ #define DWT_CTRL_CYCCNTENA_Msk (0x1UL /*<< DWT_CTRL_CYCCNTENA_Pos*/) /*!< DWT CTRL: CYCCNTENA Mask */ +/******************************************************************************/ +/* */ +/* Serial Peripheral Interface */ +/* */ +/******************************************************************************/ +/* + * @brief Specific device feature definitions (not present on all devices in the STM32F1 serie) + */ +#define SPI_I2S_SUPPORT /*!< I2S support */ +/******************* Bit definition for SPI_CR1 register ********************/ +#define SPI_CR1_CPHA_Pos (0U) +#define SPI_CR1_CPHA_Msk (0x1U << SPI_CR1_CPHA_Pos) /*!< 0x00000001 */ +#define SPI_CR1_CPHA SPI_CR1_CPHA_Msk /*!< Clock Phase */ +#define SPI_CR1_CPOL_Pos (1U) +#define SPI_CR1_CPOL_Msk (0x1U << SPI_CR1_CPOL_Pos) /*!< 0x00000002 */ +#define SPI_CR1_CPOL SPI_CR1_CPOL_Msk /*!< Clock Polarity */ +#define SPI_CR1_MSTR_Pos (2U) +#define SPI_CR1_MSTR_Msk (0x1U << SPI_CR1_MSTR_Pos) /*!< 0x00000004 */ +#define SPI_CR1_MSTR SPI_CR1_MSTR_Msk /*!< Master Selection */ + +#define SPI_CR1_BR_Pos (3U) +#define SPI_CR1_BR_Msk (0x7U << SPI_CR1_BR_Pos) /*!< 0x00000038 */ +#define SPI_CR1_BR SPI_CR1_BR_Msk /*!< BR[2:0] bits (Baud Rate Control) */ +#define SPI_CR1_BR_0 (0x1U << SPI_CR1_BR_Pos) /*!< 0x00000008 */ +#define SPI_CR1_BR_1 (0x2U << SPI_CR1_BR_Pos) /*!< 0x00000010 */ +#define SPI_CR1_BR_2 (0x4U << SPI_CR1_BR_Pos) /*!< 0x00000020 */ + +#define SPI_CR1_SPE_Pos (6U) +#define SPI_CR1_SPE_Msk (0x1U << SPI_CR1_SPE_Pos) /*!< 0x00000040 */ +#define SPI_CR1_SPE SPI_CR1_SPE_Msk /*!< SPI Enable */ +#define SPI_CR1_LSBFIRST_Pos (7U) +#define SPI_CR1_LSBFIRST_Msk (0x1U << SPI_CR1_LSBFIRST_Pos) /*!< 0x00000080 */ +#define SPI_CR1_LSBFIRST SPI_CR1_LSBFIRST_Msk /*!< Frame Format */ +#define SPI_CR1_SSI_Pos (8U) +#define SPI_CR1_SSI_Msk (0x1U << SPI_CR1_SSI_Pos) /*!< 0x00000100 */ +#define SPI_CR1_SSI SPI_CR1_SSI_Msk /*!< Internal slave select */ +#define SPI_CR1_SSM_Pos (9U) +#define SPI_CR1_SSM_Msk (0x1U << SPI_CR1_SSM_Pos) /*!< 0x00000200 */ +#define SPI_CR1_SSM SPI_CR1_SSM_Msk /*!< Software slave management */ +#define SPI_CR1_RXONLY_Pos (10U) +#define SPI_CR1_RXONLY_Msk (0x1U << SPI_CR1_RXONLY_Pos) /*!< 0x00000400 */ +#define SPI_CR1_RXONLY SPI_CR1_RXONLY_Msk /*!< Receive only */ +#define SPI_CR1_DFF_Pos (11U) +#define SPI_CR1_DFF_Msk (0x1U << SPI_CR1_DFF_Pos) /*!< 0x00000800 */ +#define SPI_CR1_DFF SPI_CR1_DFF_Msk /*!< Data Frame Format */ +#define SPI_CR1_CRCNEXT_Pos (12U) +#define SPI_CR1_CRCNEXT_Msk (0x1U << SPI_CR1_CRCNEXT_Pos) /*!< 0x00001000 */ +#define SPI_CR1_CRCNEXT SPI_CR1_CRCNEXT_Msk /*!< Transmit CRC next */ +#define SPI_CR1_CRCEN_Pos (13U) +#define SPI_CR1_CRCEN_Msk (0x1U << SPI_CR1_CRCEN_Pos) /*!< 0x00002000 */ +#define SPI_CR1_CRCEN SPI_CR1_CRCEN_Msk /*!< Hardware CRC calculation enable */ +#define SPI_CR1_BIDIOE_Pos (14U) +#define SPI_CR1_BIDIOE_Msk (0x1U << SPI_CR1_BIDIOE_Pos) /*!< 0x00004000 */ +#define SPI_CR1_BIDIOE SPI_CR1_BIDIOE_Msk /*!< Output enable in bidirectional mode */ +#define SPI_CR1_BIDIMODE_Pos (15U) +#define SPI_CR1_BIDIMODE_Msk (0x1U << SPI_CR1_BIDIMODE_Pos) /*!< 0x00008000 */ +#define SPI_CR1_BIDIMODE SPI_CR1_BIDIMODE_Msk /*!< Bidirectional data mode enable */ + +/******************* Bit definition for SPI_CR2 register ********************/ +#define SPI_CR2_RXDMAEN_Pos (0U) +#define SPI_CR2_RXDMAEN_Msk (0x1U << SPI_CR2_RXDMAEN_Pos) /*!< 0x00000001 */ +#define SPI_CR2_RXDMAEN SPI_CR2_RXDMAEN_Msk /*!< Rx Buffer DMA Enable */ +#define SPI_CR2_TXDMAEN_Pos (1U) +#define SPI_CR2_TXDMAEN_Msk (0x1U << SPI_CR2_TXDMAEN_Pos) /*!< 0x00000002 */ +#define SPI_CR2_TXDMAEN SPI_CR2_TXDMAEN_Msk /*!< Tx Buffer DMA Enable */ +#define SPI_CR2_SSOE_Pos (2U) +#define SPI_CR2_SSOE_Msk (0x1U << SPI_CR2_SSOE_Pos) /*!< 0x00000004 */ +#define SPI_CR2_SSOE SPI_CR2_SSOE_Msk /*!< SS Output Enable */ +#define SPI_CR2_ERRIE_Pos (5U) +#define SPI_CR2_ERRIE_Msk (0x1U << SPI_CR2_ERRIE_Pos) /*!< 0x00000020 */ +#define SPI_CR2_ERRIE SPI_CR2_ERRIE_Msk /*!< Error Interrupt Enable */ +#define SPI_CR2_RXNEIE_Pos (6U) +#define SPI_CR2_RXNEIE_Msk (0x1U << SPI_CR2_RXNEIE_Pos) /*!< 0x00000040 */ +#define SPI_CR2_RXNEIE SPI_CR2_RXNEIE_Msk /*!< RX buffer Not Empty Interrupt Enable */ +#define SPI_CR2_TXEIE_Pos (7U) +#define SPI_CR2_TXEIE_Msk (0x1U << SPI_CR2_TXEIE_Pos) /*!< 0x00000080 */ +#define SPI_CR2_TXEIE SPI_CR2_TXEIE_Msk /*!< Tx buffer Empty Interrupt Enable */ + +/******************** Bit definition for SPI_SR register ********************/ +#define SPI_SR_RXNE_Pos (0U) +#define SPI_SR_RXNE_Msk (0x1U << SPI_SR_RXNE_Pos) /*!< 0x00000001 */ +#define SPI_SR_RXNE SPI_SR_RXNE_Msk /*!< Receive buffer Not Empty */ +#define SPI_SR_TXE_Pos (1U) +#define SPI_SR_TXE_Msk (0x1U << SPI_SR_TXE_Pos) /*!< 0x00000002 */ +#define SPI_SR_TXE SPI_SR_TXE_Msk /*!< Transmit buffer Empty */ +#define SPI_SR_CHSIDE_Pos (2U) +#define SPI_SR_CHSIDE_Msk (0x1U << SPI_SR_CHSIDE_Pos) /*!< 0x00000004 */ +#define SPI_SR_CHSIDE SPI_SR_CHSIDE_Msk /*!< Channel side */ +#define SPI_SR_UDR_Pos (3U) +#define SPI_SR_UDR_Msk (0x1U << SPI_SR_UDR_Pos) /*!< 0x00000008 */ +#define SPI_SR_UDR SPI_SR_UDR_Msk /*!< Underrun flag */ +#define SPI_SR_CRCERR_Pos (4U) +#define SPI_SR_CRCERR_Msk (0x1U << SPI_SR_CRCERR_Pos) /*!< 0x00000010 */ +#define SPI_SR_CRCERR SPI_SR_CRCERR_Msk /*!< CRC Error flag */ +#define SPI_SR_MODF_Pos (5U) +#define SPI_SR_MODF_Msk (0x1U << SPI_SR_MODF_Pos) /*!< 0x00000020 */ +#define SPI_SR_MODF SPI_SR_MODF_Msk /*!< Mode fault */ +#define SPI_SR_OVR_Pos (6U) +#define SPI_SR_OVR_Msk (0x1U << SPI_SR_OVR_Pos) /*!< 0x00000040 */ +#define SPI_SR_OVR SPI_SR_OVR_Msk /*!< Overrun flag */ +#define SPI_SR_BSY_Pos (7U) +#define SPI_SR_BSY_Msk (0x1U << SPI_SR_BSY_Pos) /*!< 0x00000080 */ +#define SPI_SR_BSY SPI_SR_BSY_Msk /*!< Busy flag */ + +/******************** Bit definition for SPI_DR register ********************/ +#define SPI_DR_DR_Pos (0U) +#define SPI_DR_DR_Msk (0xFFFFU << SPI_DR_DR_Pos) /*!< 0x0000FFFF */ +#define SPI_DR_DR SPI_DR_DR_Msk /*!< Data Register */ + +/******************* Bit definition for SPI_CRCPR register ******************/ +#define SPI_CRCPR_CRCPOLY_Pos (0U) +#define SPI_CRCPR_CRCPOLY_Msk (0xFFFFU << SPI_CRCPR_CRCPOLY_Pos) /*!< 0x0000FFFF */ +#define SPI_CRCPR_CRCPOLY SPI_CRCPR_CRCPOLY_Msk /*!< CRC polynomial register */ + #endif \ No newline at end of file diff --git a/Marlin/src/pins/stm32f1/pins_MKS_ROBIN_NANO.h b/Marlin/src/pins/stm32f1/pins_MKS_ROBIN_NANO.h index a6b32fed88..920f104c27 100644 --- a/Marlin/src/pins/stm32f1/pins_MKS_ROBIN_NANO.h +++ b/Marlin/src/pins/stm32f1/pins_MKS_ROBIN_NANO.h @@ -163,3 +163,4 @@ #define MKS_WIFI_IO4 PC7 #define MKS_WIFI_IO_RST PA5 #endif + diff --git a/README.md b/README.md index 256da75cbe..4ffd951c7b 100644 --- a/README.md +++ b/README.md @@ -2,8 +2,11 @@ ## Версия с тестовой поддержкой WIFI модуля -Это конфигурация [официального Marlin](https://github.com/MarlinFirmware/Marlin) для принтера Flying Bear Ghost 4S. +Это конфигурация [официального Marlin](https://github.com/MarlinFirmware/Marlin) для принтера Flying Bear Ghost 4S (плата MKS Robin Nano). Эта ветка содержит код для работы с WIFI модулем, установленным в FB4S. Загрузка файлов через стандартный plugin в Cura. +Код работы с экраном взят из репозитория [inib/Marlin](https://github.com/inib/Marlin) + +В ветке [FB4S_Config](https://github.com/Sergey1560/Marlin_FB4S/tree/FB4S_Config) находится вариант с минимальными изменениями в коде Marlin (только работа с экраном и конфигурация) ## Что работает, что не работает @@ -19,9 +22,8 @@ ### Не работает (совсем) * **Имена файлов на русском** Переименуйте файл в Cura -* Работает только с картами стандарта SDHC и новее. Это все карты от 4Гб и больше. +* Работает только с картами стандарта SD card v2.0 и новее. Это все карты от 1Гб и больше. * Отображение состояния принтера (печатает, не печатает) в Cura -* Все остальное, что не в указано в "работает" ## Как работает, как настроить @@ -84,139 +86,65 @@ IP адрес так же будет на экране. В качестве места хренения EEPROM в Marlin доступны несколько вариантов: * SD карта. Этот вариант наиболее предпочтительный, если нет осознанного желания хранить EEPROM где-то еще. +* I2C EEPROM. Хранение в AT24C16 подключенной по I2C. При первом включении все содержимое AT24C16 будет переписано. Память не быстрая, поэтому процесс занимает до 10 секунд. В дальнейшем в память пишутся только измененные значения, поэтому работает быстрее. +* SPI_EEPROM. Хранение в W25Q64BV подключенной по SPI. +* FLASH_EEPROM_EMULATION. Это хранение EEPROM в flash памяти STM32. Этот вариант не работает. +* SRAM_EEPROM_EMULATION. Этот вариант не работает. -Для включения в [Configuration.h](./Marlin/Configuration.h) в разделе EEPROM должно быть включено SDCARD_EEPROM_EMULATION и отключены другие опции хранения. Пример: +Для включения в [Configuration.h](./Marlin/Configuration.h) в разделе EEPROM нужно указать нужный define. Возможные варианты указаны в комментарии. Пример: ```C -#define EEPROM_SETTINGS // Persistent storage with M500 and M501 -#define EEPROM_CHITCHAT // Give feedback on EEPROM commands. Disable to save PROGMEM. #if ENABLED(EEPROM_SETTINGS) -#define SDCARD_EEPROM_EMULATION +/* +MKS Robin EEPROM: +EEPROM_SD +EEPROM_AT24C16 +EEPROM_W25Q +*/ +#define EEPROM_SD + +#if ENABLED(EEPROM_AT24C16) +#undef SDCARD_EEPROM_EMULATION #undef USE_REAL_EEPROM #undef FLASH_EEPROM_EMULATION #undef SRAM_EEPROM_EMULATION -//#define USE_WIRED_EEPROM 1 -//#define I2C_EEPROM_AT24C16 -//#define E2END (2*1024 - 1) -#define EEPROM_AUTO_INIT // Init EEPROM automatically on any errors. +#define I2C_EEPROM_AT24C16 +#define USE_WIRED_EEPROM 1 +#define E2END (2*1024 - 1) #endif -``` - -* I2C EEPROM. Для включения нужно включить I2C_EEPROM_AT24C16 и установить USE_WIRED_EEPROM в 1 и задать размер EEPROM в E2END, а остальные опции отключить. Пример: -```C -#define EEPROM_SETTINGS // Persistent storage with M500 and M501 -#define EEPROM_CHITCHAT // Give feedback on EEPROM commands. Disable to save PROGMEM. -#if ENABLED(EEPROM_SETTINGS) +#if ENABLED(EEPROM_W25Q) #undef SDCARD_EEPROM_EMULATION #undef USE_REAL_EEPROM #undef FLASH_EEPROM_EMULATION #undef SRAM_EEPROM_EMULATION +#undef I2C_EEPROM_AT24C16 +#define SPI_EEPROM_W25Q +#define SPI_EEPROM_OFFSET 0x700000 #define USE_WIRED_EEPROM 1 -#define I2C_EEPROM_AT24C16 #define E2END (2*1024 - 1) -#define EEPROM_AUTO_INIT // Init EEPROM automatically on any errors. #endif -``` - -При первом включении все содержимое AT24C16 будет переписано. Память не быстрая, поэтому процесс занимает до 10 секунд. В дальнейшем в память пишутся только измененные значения, поэтому работает быстрее. - -* SPI_EEPROM. Хранение в памяти подключенной по SPI. Этот вариант пока не работает. -* FLASH_EEPROM_EMULATION. Это хранение EEPROM в flash памяти STM32. Этот вариант не работает. -* SRAM_EEPROM_EMULATION. Этот вариант не работает. - -### Работа с Octoprint - -При работе с Octoprint через Uart возможны проблемы при печати. Рекомендуется, увеличить буферы команд в настройках Marlin [Configuration_adv.h](./Marlin/Configuration_adv.h): - -```C -#define MAX_CMD_SIZE 96 //Максимальный размер команды -#define BUFSIZE 32 //Количество команд, которые стоят в плане. -#define TX_BUFFER_SIZE 256 //Размер буфера для отправки -#define RX_BUFFER_SIZE 2048 //Размер буфера для приема -``` - -Процесс получения данных из UART организован следующим образом: - -* используется аппаратный UART STM32 -* включено прерывание по приему каждого байта, данные складываются в буфер внутри драйвера -* Marlin периодически проверяет, есть ли у драйвера в буфере данные, забирает их оттуда и складывает в свой буфер, который задан RX_BUFFER_SIZE. -Есть предположение, что проблемы печати могут быть связаны с тем, что переполняется буфер внутри драйвера. В качестве драйвера использована библиотека libmaple. Обработчик прерывания в файле .platformio/packages/framework-arduinoststm32-maple/STM32F1/system/libmaple/usart_private.h: - -```C -__weak void __irq_usart1(void) { - usart_irq(&usart1_rb, &usart1_wb, USART1_BASE); -} -``` - -В обработчике usart_irq (в файле .platformio/packages/framework-arduinoststm32-maple/STM32F1/system/libmaple/usart_private.h) пришедший байт помещается в буфер функцией - -```C -rb_push_insert(rb, (uint8)regs->DR); -``` - -rb имеет тип структуры ring_buffer: - -```C -typedef struct ring_buffer { - volatile uint8 *buf; /**< Buffer items are stored into */ - volatile uint16 head; /**< Index of the next item to remove */ - volatile uint16 tail; /**< Index where the next item will get inserted */ - volatile uint16 size; /**< Buffer capacity minus one */ -} ring_buffer; -``` - -Сам приемный буфер и его размер задается в .platformio/packages/framework-arduinoststm32-maple/STM32F1/system/libmaple/include/libmaple/usart.h: - -```C -typedef struct usart_dev { - usart_reg_map *regs; /**< Register map */ - ring_buffer *rb; /**< RX ring buffer */ - ring_buffer *wb; /**< TX ring buffer */ - uint32 max_baud; /**< @brief Deprecated. - * Maximum baud rate. */ - uint8 rx_buf[USART_RX_BUF_SIZE]; /**< @brief Deprecated. - * Actual RX buffer used by rb. - * This field will be removed in - * a future release. */ - uint8 tx_buf[USART_TX_BUF_SIZE]; /**< Actual TX buffer used by wb */ - rcc_clk_id clk_id; /**< RCC clock information */ - nvic_irq_num irq_num; /**< USART NVIC interrupt */ -} usart_dev; -``` - -Размер массива задан при помощи USART_RX_BUF_SIZE и USART_TX_BUF_SIZE: - -```C -#ifndef USART_RX_BUF_SIZE -#define USART_RX_BUF_SIZE 64 +#if ENABLED(EEPROM_SD) +#define SDCARD_EEPROM_EMULATION +#undef USE_REAL_EEPROM +#undef FLASH_EEPROM_EMULATION +#undef SRAM_EEPROM_EMULATION +#undef I2C_EEPROM_AT24C16 +#undef SPI_EEPROM_W25Q +#undef USE_WIRED_EEPROM #endif -#ifndef USART_TX_BUF_SIZE -#define USART_TX_BUF_SIZE 64 +#define EEPROM_AUTO_INIT // Init EEPROM automatically on any errors. #endif ``` -Для успешной работы Octoprint возможно имеет смысл увеличить эти значения. Например до 1024. Это предположение не тестировалось на практике. Возможно, одновременно с увеличением размера буферов в драйвере поможет и увеличение скорости UART до 250000. +Для изменения места хранения EEPROM например на SPI флеш, надо заменить "#define EEPROM_SD" на "#define EEPROM_W25Q" -Библиотека libmaple находится внутри platformio, и обновляется автоматически. Поэтому, чтобы не изменять стандартные файлы библиотеки и не потерять изменения при обновлении бибилиотеки, задать параметры лучше через флаги сборки. В файле [platformio.ini](./platformio.ini), в разделе mks_robin_nano надо добавить в build_flags параметры USART_RX_BUF_SIZE и USART_TX_BUF_SIZE. Пример: +### Загрузка прошивки по WIFI -```C -# -# MKS Robin Nano (STM32F103VET6) -# -[env:mks_robin_nano] -platform = ststm32 -board = genericSTM32F103VE -platform_packages = tool-stm32duino -build_flags = !python Marlin/src/HAL/STM32F1/build_flags.py - ${common.build_flags} -std=gnu++14 -DHAVE_SW_SERIAL -DSS_TIMER=4 -DUSART_RX_BUF_SIZE=1024 -DUSART_TX_BUF_SIZE=1024 - -build_unflags = -std=gnu++11 -extra_scripts = buildroot/share/PlatformIO/scripts/mks_robin_nano.py -src_filter = ${common.default_src_filter} + -lib_deps = ${common.lib_deps} - SoftwareSerialM=https://github.com/FYSETC/SoftwareSerialM/archive/master.zip -lib_ignore = Adafruit NeoPixel, SPI -``` +Есть возможность отправлять прошивку на принтер через WIFI. Для этого в файле [platformio.ini](./platformio.ini) в разделе "[env:mks_robin_nano]" нужно указать IP адрес принтера в опции upload_flags (строка 499). + +Передача файла происходит при помощи curl, поэтому надо или добавить curl в $PATH, либо указать полный путь в файле [mks_robin_nano.py](./buildroot/share/PlatformIO/scripts/mks_robin_nano.py) в строке 48. + +После успешной передачи файла принтер перезагрузится автоматически. diff --git a/buildroot/share/PlatformIO/scripts/mks_robin_nano.py b/buildroot/share/PlatformIO/scripts/mks_robin_nano.py index f19e28e1ff..1663b98c96 100755 --- a/buildroot/share/PlatformIO/scripts/mks_robin_nano.py +++ b/buildroot/share/PlatformIO/scripts/mks_robin_nano.py @@ -42,3 +42,9 @@ def encrypt(source, target, env): destination = target[0].dir.path +'/Robin_nano35.bin' shutil.copyfile(source, destination) env.AddPostAction("$BUILD_DIR/${PROGNAME}.bin", encrypt); + + +env.Replace( + UPLOADER="curl", + UPLOADCMD="$UPLOADER -v -H 'Content-Type:application/octet-stream' http://$UPLOADERFLAGS/upload?X-Filename=Robin_Nano35.bin --data-binary @$BUILD_DIR/Robin_nano35.bin" +) \ No newline at end of file diff --git a/firmware/2208/Robin_nano35.bin b/firmware/2208/Robin_nano35.bin index acd314e04514e565ba010fe58035e7af82e15c42..623efa2e7d316e8642cb281f5c0297db8df14b3c 100644 GIT binary patch delta 92956 zcmb?@cRZEv|G$*dKxmVZvU2PZjy>WSh3rvQMG4u3JG9L@B{|CB$jCX?35SEM2xSY| z$2s<12gmqbr}yXmSc``7P}`yZG4zFyaBJYVxV*i5!sB3m4{V)SDM=Kt$S`QO%G znJYl_7r({*>jPb(49s267??M}bM`p{vpIOOz;pTq1M>^;sDy*Rfkz)aj^O!wW2qPh z<}mQIf#)>zufONN1m6SC26&_*8JIsj_}ALT3kHrqAK;H=VD<)&8~CSawkJohgR zD{G?1H8L60eiO#6eqvNUEU=?8Lp1aliglczkvE`?=-gbAeK6 zjhl9c$lrT;IDED)p@_Luo(>!iR@Fhoxeg%@?Gxs^-mEL1y=fSswwygV9)aH|^dPPg zrF&k%7EJe}R4Z7Kru#bgR3n9$L>TAxAWfNs)i>{;>eiZ5b>AGhcra@%;Qg@huWOH7 zui!_~?!i~g3N%J;qv{F+F-h3%iYXL%NHXryxo3%UB3FkasN2V0_*_GlG8v27LsxDt zVhI_1DH$Aw_G6pAgOuJM&*%st8}|qAOAgt7 zh({bavq${VyrADos}o;GrE{*Up5$+Ye7|8hmDl;G3H4b9P5-Ng3^9jX#~pvdk1&^g z9Qm4Alv&9{r1z0g?=rHMSx{RJ_FFFTMEj9mzaX>v&2R&yt}l}v%{!Mf#I-DmJa%=0 z@!{bdR=wQ6ei)mOh5Q_YyOt(9oA>MH8Xznqn<#axeD$hG@q;|3i?Tu#5gf(OjZ(By zf>h~Jp3DfeLqsm=nA4hez1%LghR0c*!2Jg6$CeAJGF`5E)G&dHZ3q_O(rgzIl3HP* zJ@AlWSQ&AApBz5_REI-diyX{wYQWsO~}din?C5^RMH3SNM82oRl)jg>^P+C_Zgu#bLf<4{Kj`eS+UG zU!BE*O*6ozFM9U`siiFtOjYvr>GaT{ZwO zarEt;Jw3>8>}rRkT8X%C2_ika!bnz*LFiZXNAsD8k^0X8RQ2YWGBakDz? z8qWusRE4W+r>(X24=WowR5-oTPPHhge#Iaa=H#H8u6o>dt zpCwG6>)Eh1kUvkzGk`m1&yI!>X*iga1>xC{HxAh*l?;PNj2wNLF}{G;5bJ1~psJ#n z=UlZdF}TW!b|E%pVlH3G4WP1=O|dIVy|3%jEFS6i@*qqTnq!BLd!R%TPShR%(qtM# zVD??=(zbH0N?Y4mb(AXm)_(_bYkgIguu7gFv9}!`rztE6F4J|MR)&L}-y%HuAtw?K zlNlcoN9Q%U%gt!wZ32<&-78&=6`#qb=+OjwVCkSg3zJ~?Gi&guE^n@=O@U*!ue`W zYBRGAcm`a-g`-4ViHCTPm;j20zhNYh!*9pEW(_WueT6!hL9K9AKbJW>)Fe-6^NX;y zzybm~$)bDFaQx@%Yf>G|*sY2SMbe$0V5{Y_F1-4@N%s*Ud>hM!=P}ck9S(UrNk&s9 zdCgE#;KAY4p!fbBt0UEiENd?T0s@1uYuuO0bGE*jrp#jNVXf8P$yO2=Enh8rZKKkS zq|SnI%b?GzEC@YouEwtK(eD<+1=r-WryrV{k_#pB;CLv9%3}aHXv9gx&4dG_u0yHn zPL6U4o+OLXML_(;+>oFd?Yp&+4gC}daQ18;fA23BIb!?XFWsjAg%&HRC);&w;#h*x z7LY^P{PEr8AM1rvFjZIfO=%WryuSA-e0)4S4m02gFTA#c6JwxGCbyig_l!cwQA8t; zT#p`|&4sLOu&|OfB2M%c%#)L@13-zZ4z5%z>+|AEqY5tzZ~GhL(NJl|2mkv8jSmyy za@izy6&ps>MClzhxX(o^VUB>IcaPUfq7zTE)4nWJI_yy$HrIu05}M zOMy1{FkI!(cM>}%_xL8ZK-G<4_se%gCrZTx*VI^-&F|STUZ~P=WaE_X=N*xcuYdWb zJf?*aKRy*tgVt7pPAn=Vev67s72-;XF%h5O&vhGpNVq_^TkA|^_G6TGi56cxl=Lo3OnPr8;8H!cN$;M-Z811_RWa8(p&zK zYf58*=s~x3Nx%WYhGAQMa+?0vaFxJ7>|Ir~p@=c0#g{Uh6nJDIH3E};4mam#ZAKP0 z)b00pJ=q<|WB%5tVoXowyxfguMgIi3bGTVQd$Zw=1RA9v4md?Ujb>jhrLT6Yjf;z` zQHgWkPCi2DsV@C4{wQqVa(ST{o%K{8g#BgHR$aRgr!v7ma(cM>kVUOxk`!GSw8{0^i}p}@UYvjq<*5@|Q(AV<)+8qxI9h5y&QcC?DU(3a9Tr)gFQu7oVj z>JbghglpHk@%^rxI(<&{yNz{Pun|?`(z}D1%hP&Y6=l5^yCH**2fKf{#~a6OS600gA#yfSW6aV6VEce zT#lgwpTR!Kzh(PhC%+0r%k~e^b}J=x#*FJ6e>QVo1qOLm00IUb7D8P^&Nw~qIoE*$ zvPXODaWO)3HU3EB2C`iU_)E4ktW`yqbmQvCvC5m1+d9XYU-p>S5vu(5T03!#D0m0g z4;L3QENKDX*76kx(~xt3}91Dx@_v&ZIl?sqjPK2C#;NInz zG!zZ(rEiJHUo}kh|6+Z|v*W`~gwNg_eyQ2@Fif(-6LkR*HC+b#cT?V0@oCN^hF;5I z!wRahR*=w2@g+9ZE9RA#Ag0UG>26EmE|Z(b7>Kt+Ai_<`AXkFm-6+Z3)B+7@E2aT_ zk&~1*2II!Xy*Fd&%~u>%u?s&r7MPKo`Kn?p*0(z~Pq?}>8$``N8=XU*F$eXk-7?tf zYBZbwdeH1_X7kD61BA7@=K<$*6pz@}MI{tWM2x^X> zW8BXjJE?v)PO7i;`kngHG!1ze2CQm%xVdCumYwhY-lIiIwEEtyKss;W^s*{r{~$g`YK-`S|O6SKXCBd+;f zC2Jlj2&56kqdra!qvx_&&yS{bFCCkPT<=q~MhG9PG5g0pNZ;_>m$H1_zXz-_(bwVsvA)cmVxKF)5(FIV?z+K`!k?EDH?S@DRU$5jxtW);6}p(+QvQ)C^4%jWDg@x_)%P7nscH5tfJz+uP=A zY-KL)zw>Kcp{Nm~Pb_;urqxLn`ttp2mB)pK4fP8n=dCun1ZnT*Zzzv2R&QwBSg4OC z%YzMcxcF3~>KkuiG`_sLN!7N(RXy)2a&&{z5IL%UFevhyi% zE@w&msup}0&F9wX!EgQ;BZVb*+f2%??+@gn?DScc`E@mGKk{bJ6&5a}5aO5Fmg#0i z?mB#Tg7v)2Gs2w3#JYmX>3Vku3tk$=mTL0IXn>WKMi@l8t41uQy>AxeifzeWB5yu0 zB>A#IxyvmA8(Hfc=1COFV?HKOouGd}-sDuh`}bIj9D9lZ>mTOR zah3Ji7WJDo1w!x8m`;c1U09hjK@)zPd4=zC1yCuU=SDdZ`ecL*5Mj$bDo5zC*%_SCH$& zbA;R*BQ7rpj=%ny%9FZ++m`)Iln#CZTj+>J&FNnqjUpW-i$2~58+u<)(M>UGXS<bts&PM zcb5aGz0f)6v6qPR;DGg{dK1m}XH-A99kS~r3}s6=Yh#z@v%X;!I5GL#f>QP#9c1J^ z!6wWpr_X63h1Bzdn6dHZ)PnOS8pEa0$EBq_--suwu|TJG(P1kk}s`>2q^>!ufPa{f^lZuQLt%r4h3;w_U|?DP$%hG>;eP$jyyWPSyit zQ79H*v2rstbUFuwOh&|?--lswsos&-|mI_s%>Z9JAhwvQvA0K=-*#1qw>UDvqxyiu7q&E za22#~@0dxS!PXq&&44r(wuNXemMvdZTf|rO!ah#yPB6ufJnoqiOsF(-3mG3K79423 zbbVaq(Ln5tK%2?vZ1VE#y;{d!DLp4zeN=I1(_dkf7LJ0Gnq?%Fr$9~(v(V>?e{7d{vg?R%-vAe*P}Fg+%J+=ZX+rOl1aeqH7?spo>Asv{ByB`@5*#_^(Zutv}{eTjY zftJ4pTJ8j7=nrIgM78E_0S|M-Q^rpQQWnJMp(Z&(8{oj$qCl7us8>7#B8d3oZZm+D z<=53u6g3|7XS8Fzw&@2QWC_+QxFHM5piB1;j9}F?CQIvBrF#{ozy=&(14gg`W?zkq z&D9;>3|fV&ruhxEmSNGvO03?#ZEMUaGxE|KYAp{G6kWRl2h&XcrGE*|mWqeTND^{9x7k&-cF^#RDxzvNMpRrKhjCM8PH+1*afGzkl(fvIIGR0Da<9Y?zvpJUi z2wn27y%s1+Yu;~#2VgxMaL@+5sgc_Y&&xisdiIf8d7h0mfn|GLBECkJ%qyz|h3nUG zq6_n1tBn%6zh0VWjM-M_SPvP9P(@b)7OI3l^wqIvm@P;c)GB#Onh&qVZX0v(MgLW` z6R?++xY-6B=_EnT>Lh^TcwM%TM@#ot zM_xUpEF9W!+p0*Gl!BCM9%y(__{(ny^rX~J_(`!<=$~QFn4Q7!qaVOg;%6haHK^O% zFEFo_3pp*RtmZcRpADEvNcW^mtQkMWrzqj{Y~0O)jijl-U0>Jw7DmGw9JC~c#5_C_ z-%du&jU4@6!Zea*mHGK3d+UYi2gr|1s~Y^}b$N^mR~dnsLUall_mD+LXW<djii$Rn!7>hv--Ab@TKfk4Jn-g&^;&ZH5vwc<~qN3(3014?!7TjELjzB3_rM#nU62K!L`7^teKsWS`Mn9_PCi zwG)@8nI(IKE&IeVMqz7CL2*AXOXr+_{f-b?d1SOEVV-?9|5c1HC*Xh8bpRUU`+KohGir;iOPQ;F9Dlo&wSvC5PX=u)FVKtcW}h1b_cY95>%+gToc-KiTVHka`{&P_PYFex^*uQemtu@1ht@b76K0EYr? z+D*xzEU1dV{10c70^Bv7gTK8$n8PFT^bb;M4-VJRtw_vLc@qcI#}cLKRs{WJMq_~% zAx|G=GZ%C56?T^489uq1n5+LWv)|rv=7LRLO*fgyn~Vl>-~;p8*>AJ^St-gxznc`U zR*!)V?r2qOohZ|rUXT?(47@4b+sOeAdn`BOI8d}fs?t1qCZ0ecRX zeZMADxoE3btKfW_`JPY7sbpsUC&)$(9z#dGrihExkWc^Xi}tbx+dW zVn4Hy+So>uBCGa!Op7ae^u+CuABJNxxk~~QLxD2}rom1@DF-a7c^Fz}5}O69ib$FY zjZ+0EdCe%w{N?;EHJ3IETUls#qUdw`ympJ!;gQqVYj=F|HDq2(=8j)N8^Vn5S<|&t zp3q*_opOPzLsB24&&tcHh^*_+q%M0z>N*FZDN*b4C5VUw`C+9>TP=m1z$FXWim#zF zy6Z%(en%TN_g}dBJ>SdT&sk5BPUqH!df`!nS|lOr$>6(GSLYFJDE6KoZvOD`=hswU zFWUA5`x@cj&uM0oJ$og!ac4CyMO!j4^CxwfCq8A2aP+qLL5a(f$-MI})}zc0vABQ$ zO+&gChbN#M&h?FQ>Rlj% zcKRqtEDE{)E$(Lr-gGbzv{ivO6l_?2s_U?RpMCj~dLFsBS)jbo12Vyu1lx6pUvPiN zz@rvXUH|#ox6PV7xpxJav5?XMtXdXeF&sq29|4Qy9Tp(qj=l0xDq3Hk?9AICq!yim z(A1p?)l^ThNQYi-wirXTmA=Ua#gc;1S=~iqc7Ly%_5)t%A|UXQZv}QN&?E$My0|8r zcl|G*g8o3I9bj>4MB=<)DQSEFxgNooyXARDClh{d1**n%P(3!%r$?(B&B?om7u9IqS%0VBd}?pha`>w2 z*-zcOMi0rxL5ryjNpuJZ#pprDjWmOXUv!y^6BZ>O+B0z>B~93O_b-6dh|uyZNT3|I zRb@g9bg2|4yr_QNbRnX${_{UmuP@D`6*(UCkgFFYCi?yft7CuwgbsOkc_bJ~6nYl4 zJc2XQ0p9Miw74=yZG!oQT!M`0hc`xaZ~GN^+YE@FnP3PvxgUw6+8$N%s6`@u&w$)5 zKMam9B5(K-x{&ApT9 z3TR-+DSK>)J1hk1E37*e0&l2m7)+mPw8X-I( z%YiOlc#_&VhVhdK%EB_o-eaIc(-LZj2r4j=UT+>C%EfnV-K?{~PKOtgI0`|Z6PXR2 z8M&XCthoAfucx0~c&m_%65CkmhC&^mbPL6IxK8@GP)1gLQ912{kk$1 zKRLx-OcGuZ(q9Pkv{Zm+CSTorJTKw5fZPyXs*i)C_AdJrldQh@T%&V<#AA;*xw^H1 z|Ji`<5aJC+@my#a4s?(M>3v&`3&ebs8kRxC!A#OV*ZusVKnTMUrp5>~uQPsLOA?rg zI~Q?V+ztrk<*vz-$;^S#?Y(0+C$o+#G;N;rT4KW}in1_K0#9K^JMxtJ4C>eknG6@rT?7cQz|l5*RqG*m{I~y*tqIM+q(C5g0q%tk@gk6MF$zkHQty33-R9KrQPnCjwHnK-Y?` zCZfx1GiC}!LHa6(w^LjvcTd*X+JNDQVhD80xj->^=IvX;-UfUc&1&gVhis9!z}ANN z6}kFD__vqxGssl6icgRIt@VKpgy;}b1D0IRSvmCGH>U_2>Dq`ABfA2x*x*F;WK7-r z(=IH@3M4AQ8W=haq^5<F^FHNZM06s*s@H2n)uQ_0hAJdgJh>(NbWHxUp=@D z^#OEBEj<6x3YN;70yP@C49!@E8HN9t(Hbv)_39>hvIN`ly;aBuo}ea-;i>JO9lltx zQRQ;Za)~z=tanb9W4pn+7CcE!46N7BT9b&2vIY3@Lk;Jda0M*Dn?}6>&GJ^1YUu7L z=ZQUJNVMY<96v;)@-$`PU?8TG>yr~(9Fj}o>E$+WGEiA4+|sDQ2wEHDi;lPM52%YBm09D`X}SF1n#~h~t&OIiKLHXJ2BVbr ziyPA1~+;MDF#6C?8lX>~zbX;in))E99hy`zMH z0dIIdy$nYeF{GLHebeQTVJCfLBl1YtcEZ#}e_Gu_az$V=mq%_cm_c=t?i{@Z(TX>#elyOa7@m~EfUX!Z_u2FO z*Npxje+c!GPc!WnAJo1f)F3emZFwDbIwwv|U!-WpEACI~gLJ0`Yl0k4O|zdgCtbW%q( z2uTflXdo_8hly^!hp-8CpPz^+?1{D65KDQ-p>EH$ZHIW|OH6N66)3~O%=Zy-X<@L1 z0>B~7=}}Ho2C`^w8XTWmye$9LFo#LyC=oZ1A_lPjbV@kjpdva?qk<|3Iv&N%QYu&p zf5nvTBro(;A2|qp7FIc0RsB|(l&6vTRz}2|a6v*StR-xz>KD3Ih^p9O4r^Gq)t22d z#Gk8jNxinkjZMP6EgQ@A?@om+w%gsD6gfJMwL3NIVc+>VWKCTJ>?WG-xPHTr3c&axpdE z;?7CJf(@<*bf*d|c7P2w*eBR^WGP^SF}J+vU0%$EtDA48^K!dVV0scV24q;nBnKk; z^md?uz(8K~@}Kp`V7>Rxx-I$e)C7C~Y{F?*eZx3it1GpwX=Mp_Ov_+C!cwf8Pj!Kw%(IG8=t-56)22OPv~YDGjQ4)%4fZ1x;BGPacIx6ezPxAD0d^{ znq{4W~nRpe338A(0K(-uX z{95VpK}q{R-yd|?^?TqjY52K8sVn?M5?^}!>#mO*gpjrph%@e8WTMQuYozMJ^V<(H z8{mX%5Hr_H`$$a<$;hS}ujGqU*jtmW$HqABhn(Kt(O1c@h-tC7j<8?qo{Wx01fHsd z$>^J2rQ9_l)~LFUvEZZ2q{guk z#)u5g*-{YE41sytr+~%YX~j*pl8=OIYf;i1%A})UTvspDN+ktDne)l-$`sQQAo8wE z@bTBy-J0w>hW%D}OIHuY%F|U5;~Ev&WwJRlw-N-|6)+LHDGC0;k-W92u=QUDgf2fQQGS&M>13Ur>1IJ|8Km0fb=B05rI+;y9Ze>IF!XhJ!aZd!gYU*>!9)-f zR(&2z4y-W3uV^UdTHN)+#s1=Pfs3N~Zy8+Rob99XJGYy+1!f;N-Fytqb%20kUV;?< zGUu1YfL{g3-;=%J_()GG&l*57H-L0AaTrvw!I)5#*Awq(`hK`mHZCr8D& z|BJr~Sf+<~1dGI%hCiJ<$bPx5QJ0`c zJbj~G+X|{`qM2?&wR5PZpOR-ieudxJICOE8^FbF`bWB|hg#>13%baPTAt%xD`|5>{ z1Ml(v47r`R4EQ-_+4r9B`g}dI=6M$mW^ev(7R>Q@ZUPn`W<2I~#cbM8fO@Wr80lUY z37gcr9h7a~-N^PPEaAi$f1gb?;tcusbFxZ)k;8%-l2!(7pPDHxQMd(L7<^P5dchX- zZsW;?D1hY^gpi@R3LtFH0>x&AkySSz}j zXHBH7zo=oOp(Z`-p)xAfla)f;)g=`zF{issB9DFdGdBBzf7C13`!&YHo(>f8GpME> z`b51>w*Sr3y?YLauIlMKo~M0{Io#l`9`DNaAS-I3Avvo4|r77D%m zKaTf~UU?^W`T@SBui*>+;4zfaF6bBh%>e*p$RQ0T=rQ}lXn|+5t*PE0t%PyoCk;eM zzr(4=00W|{FmG)Jq_C(azFV-?50XcNF9283?x*UW4Uo3zAGvFOnyN}q-8oPm=p8v% zgC)5*ggUb?2O#!lR?HK+RK(PkRze0N4t!XDneRW%NMb~UkI`iCKF(ir>Me+j4G>%T zSc8{cs>mVZ$&+BIJ8bSjQhqm8s2ZkSW2*B65B&((>Zdny57_+Vex4 zX8-fb^DfzY*Q`kMNMBfrK{}(_?h8zn*ZDF>;&PqV*O+l2fww?~!g8q-zQmfR?|=@I zMiEjN+kOA&D_Wu2W7lKcel2%huQPY4cv_7c{(SFxK6otAxOC?SFnLz z<_mRS*0N}G1lf5u$j)bS@RB`GVGDh+uPjs|NQWX+3}$>Y7i#7PE?(;XF@G72YUUXV$4mNKCMST0GLw> zw7I_PR1m46NsJwj*!@+qy^qJx{^_(DUw6~{W`TyFtAwQ;XUDzRHuKO0$X9WY>S9Le ztCltKFX4Le6_*LW6*lLdQZ{!;`@BT|8Rn?f}H7KqR&IuzhhG3F>uXHlv* zO4&U_=(nLd-)J#;{Xxm5OgCo2Y;$T3*@XJpAvCFs_y5gp@!eSVhtm~ZNzYOc^3kny zZc6(>Mu>`A`!LbU!h*Y2ZGwExz_G@~>nd;s6F)uF#Sr#iCysyYn17ez#^vmT06H{m z%tjl5>Zr%eZmqe5lazOMhuejOlu+`U%0EC}a$^<$ep<`6o~mSruwB+rbhn?Is3>V@ zxD?71K{|D#*3bFv=G-Ph#}zhgDRxhjtUR|n5|jTy66K-ua<6TcFPYU)*XkbendY2U z7w#ZPe0=&d!x^Np@6`n7xoba64;$j&qqLwETdIRSB4pXzTIWG86s_=D`j#g?T*l^o zFlAUiFC^&VpzKe_=q&MSlT!a7d|64yn9b~k&u%`#zC{dit)8u?nhA}V<~vhvvP2x= z5p033102AL5R+U7WloE~E_ucl`H6-$2&}63G-U!?+j;&T4i3P zOxj#3h-1ZHwu-DwO^*3z2?@+6_=ijlS2tVixEmaSf5{wO4Sdvv75l5iB%QYuesi*% z6H|o~s&}ebhnyD=U1Mxf93s&woJB!D0UpnsPPBraPX`&up4xUWX6ed^Rq933hgwfP zjb0s;=sEEXnCTKp=A!EuWBz+dt%_%~`8424{@P$i*y0;XT=g1>Q^|B(L)`+7r%&{Pk?=u}59J9HVXqvluxU;CTB&+&9^?X#!};rU$YCOHeG@=azC3#X@+y;x4GB zOLM!5_w>i;kKG*dZrZ&Ej#6qrv}(eHrx*uH)wZc7&P&XbOcQ2ZxcK$+ z3JU67LJ1}5cPqv`|GfVzR&VS?qgRvvK8i?6Z*fOv^Rpmls~o01nH6$?OA z`&HJ8iT;u-hW2p{D;T>fEXge7-ck72VWG4gyRFNaI5W6#_AX53!k-FDRs~t~%cjuu z!ifbx(9>d3SFEt_ZIa96P~hSL1ri`4h+K$#2gmOYP`odeu@<5T&7l5bRFpMVsg=-DUN%w06F`uu5~52KPrJbHNE z(xzxzb}|_*5MT+aIm{HLYrlApQHk!}x?$lPvi$qdcac ze;VRHoEHN@bMwmO55QYKyx)3XyvIi_;Y1$qu(A@mT%(98H2s`A*DLN@QBlQM&HW#W z4?z{qD_5wg2!eR8doAO`-~`^ID=l_0F(IZQB7Sjbd4lF+27lFT63KufxFDnl#}FSc zkyAf@3{f9>7*OkVK`b%$(M`ol(zFpJb8&U^VK2IV)S{AgT3atDuR$#lMqA-U&8@Pd z0}onnJ1uO$oo|~v*JGmF1vRTmD-KAD(R#RFshz@bL$!m`bOJoj<;OJn+adUtyC#U` z^-@BM9pvaaDfUrzTeB5ILtV|gG2|JG;Ufv!`ff3`UF)A-4<38re+0c@7HHMtbTu1J zAowB=5shw9J-nMFs~h2&yD=P}FjuP{Xobuh)7M}5l65ihh<1FBGV&EWPgj)tp-XBm_B`{o?n;SK;Q$1hODkhv^;4_#sr)+#Tf{*E)$LoNK#D zVn|<@cs}im%VhR(yH12W^#L20NzDTBKnw~8b5tPWmeRpcET}Ot4vcc10WoI{XbTR# z023Zy{Ukt2ZcxLz*NIa74ADk8bwR*Uco-Bqk5hT36L2sV@DFMi>GuYbt>n3`G+v<` zS*F)1(N|}hrFF`;P3LqT5JQE54D&KnxO9F}w^tB2jX)9l&9Wx2fu>Bni&)jU4+GCx z$3eYS%Ru3-;j1d8u52C}n9{-PJGm{uH>J*lwjcu&Bv?5-dB}`w`+;$bFEOge_|ea! zl>9iNbU8S|(-D-a1(;C@L7&;KxRTM!H%hj6WMp)YafG_ZXvweONKdp3H8+GOWL^Y@Cls7*Ceg> zV!XM|-87%8Q$&~*%xEzZzEh19V^m6OxzveBA`x*qo=EU2stM>52OthFD+htM~8 zlq=Rq;-XXkX_x&rF4%Ocws>xm_9aj5#SmB*)h2D6 z_UZxaSt7asN31J{M-2qk2nC7q2Kg}rpyYWAbOK_5l7EDvTqY$?1T-Qp>=H}jRPea# zmUW|7ZmAx(ovZCftMG{_M$U-tigOJ-;SGJsY<#(I+_sEWhvOS zT!WsN*-XC@?07FJ-*<8aw3J3{ymr@F-Rfm0N5ySON8Magbyv579d9Nz_>TQqclR3b z>JWjJv3P$)uC``@kXrYSUIFKLQwNKh0Tx$>5u{h}url8#<-VCpaO1?s4$PuyMBa-S zpKW&6AZ;i+y{n&U07@z=KPt@yH60pfReVEZ`we2g<^$@4?Uk98pp0eK#O-Jh6LJMM zn^88`mbFY;KT-Mu=m+SS0r#$gFF}0u%877RbmN1ou4K_=t=v`|WxQEPJ*V6oOv&^- z5{pX(-E(@i{1$-P4*;qUe5LY8fE&#R_`W_N1EfRBC!Fv-J*+G~KF(ODrG}b)N#%h9 zZ&*J{cwz?D8NqrLST6(XY&vSFBD)p&m&Q3vM}U>R@`1#A(iIX;{89&{-V(B?gr*vb z0sMpIejF^7M0nEbE8epunQ+1lKq(Wj<7)7M>@!pzJH-2CyAhrHElu*7FRGt6DRV_P z7*cu`40Z2&W?nyCRbr&PpdpEGs`Da>w2#G^!!R3Kh7|dQl5O7U?8i&Ye}8V!9GfOy4n^2TJ_&wm48?OuX*E4NE*|oYr2v43to?l410Y8AUW*!}zYN-m{2R zfHT{Bh&bqK9GJQVO1KI(h!`1XEZC@V@dvq`BVb`rT6R?%=*XN@W*ZmmOFE_hjiPva zuL>bQU@$Oi)nYSeO2W54&)<<2jA zAHAwu0f0>K>OXw}^v4+ta=(JJ;U*sDlfJLfolOtVHup=~C!NxMk6AHK_ zMmIwpn@M(Ovl&f2T`5nxnLj#0Zy7-mKO4O~Z_~SOULa~+V}`303vVz&Va{Z3AzlRxXd`y zotXUmINAfNnusY)Z?zIyqJ_r+7GM0s;#LOv{MVDa{#FQ&H9C;&N-@QIieVgNxKyI< zgT^N1&A+T9DDCqf5h^JCrwEG!=p0Vhfl9|X{plyn_oIto8i+u9kuHJ+o}oV%G_Q@Y zNs~ct_ZawsL?FXDz@bQR$+i^98D;k>Z@}129O!^4t?gJMY}*=4X8)|cNB}x?*xU*D zdz@f)6$;z=yNrdQ@SIuwgIzcdl;MKR!@0=hf=n*$e8#sd+c?}(wE2L*_S zkJQw2m?mfG_oP*lU@~_`=vXeNI{v#Le4M}Z@%C6&Qab3YRtEgz0BYhG?poD(dOUIR z9KB_fm4t;|8@Vh=Pfq{+00#S%y9y^sfkYaV4K6Z``cNj6fV228ax!8Sq+VmIiM=9y z+ExcEpB;$?N}>h&ig)hSJpWG?<_B!^=B%1-hD_6~z}T^e5@!K^KA?fF0|^Xa54GmE zc~d_Swzsfal3u{yJA#lb>CCV)H!x#1pkoRE$nX$IuYFX?ug#%(XT)R%$Z#%$ma?T< zLnGZ_H~I-mj9Bu6(h90Jm>HbfC*L(sT_bTIWVbgzUg6~;hAW4m4NX5F-W;*Lbu}y-D34q z@x+*Ji!vjwMJY59TQ(uh=X|LnSFlmb)|{fZhGdY;I5~S}9`oUCp1Ra!cQp8Zwf8$y zCgcrot8dGjnpb$I*Lijz|1UO*tvS#T8$usEBU-dtC_PfxwtWe;Z@X5<9Fn&D@Ne@7G` zK&s0)mfd|CE>(JJUD+v~t>gsNd$|H(g`_VlbTh1dyfT*>xeJv1 zz!LrY5Sr&NEft$3W)7XXFKVgAYDYIS1cl{gY0^c5e-V&xzLkOlR$@2ycSzL??EIl6 z9n0g(93@L}4PLkYK<&RG9!Z1z=)u4?;4Ah`(5)oYv1hEnN*{BoHeiNsrV`LOBWO+WvH) z;h_piF;rCJ*%zx{479_wAJow~&`HV_@Tz@i27Ez^KHV4mh&^qHV>HS^VMkKL7V2g9 zQpC@Ylu=9n@^*9?TY(aeAoRD4R~~*Lom{08__v7RQ>BFVTmK?J{pZ|8=<7zci|rqO zTvuiwng8uvz={OjT^_fYvM1udZ;{W;g)JxOB$dBMm3^yj5$GOUm)HK@vKXOY1^ zxvF!wl;>9yTYRg>`)En7mhT|oNX-)zb&kLG&7!sInTLiko=|!mHTzdHYq;_I%Qb-GUUxVGP zrT-BpvdTNcR2BG&i*pcN3$+LYXpJS14J&BSkXu(fA;U+#^ikzJZWL{6KX0g~J9y`> zya26h9RzeBSa`WL92E`2O!xsESSkTl@buaWZ+h}41AUtkmA{ZO5>1aMo`8s|>EGP( zCko-o$YmG^>0BnuLButM^s{6?_9~?O0dG7lJb9jV`c~6Do#xFc17xD*ZNBpD+mi{a z19(>SR8Z%X9co{vEyxvfK@^Mu$z(?`@|=~Z^kG%{&tr^&KKP1Zmd2JCxD8VK3F!00 zG^&a2_?`h~nqCDr4FG2C0lkk^8)T@Jtbk6#eKUH;?+16pC^`D+5uAjVLg0vY@h(_fOjJIs&EE0xk<&SL2|Wo`k!hXx4qDV? z&NT&lYaB3W?e))I_@q8Oa6ftiY&<`yQ#v`Mec29IzuB9DGJRvj)ifJqoIxSc?wP(oT?mLQ{FNL=>5?rdDdwyv>Ik^nJ>e`cgRO*w{^tkOs ztY41eYg=kX!?5$fw$*2G+#VzZ7hQpAZ-Hr_uDE=zP!ZRj?s=lZl`QjgX|(&}HIiGM z1apiqsSSN4F6#92GFfW9VYa}b0uXMIL6dp)qeo#!DX-jnb5A`^k`)I{_@o{`VR3-< z#K(JA+B|tO?jxLmB8S*F(6HZv5u|gYFmok1#+4eWV}?El!3G|+@wz~ANzn+_HO zMcfD^?$4ZJxuCVqd`RUih%ea^;+s==MCWP1xD))}R-ctWiaX*$IM3o)e){6jF+9Y_ z1Ac8o_!_=!V8C>Kc_s#15^HAJnCzuqFtuva-X7m`rYF)kZHx??>onr9`Na@1O)avWywkCc{s9tbz`=WU6-qIr{Z?9Q%ivUrAj11O-JWX z9h_OVIc)b2>6Qs^WoJY+>zaZt4m-9wee1vkm(Kd;{n3u>U6o5#w7AEr4{1uV{PN<( z_`~sjjwy$wCM^1bI`(NOmH7vqoxFwDm}6$rQq z0PF=TIzF1%Kif>#zql~d8T>wW0wQa+jbQvf6o7^{qh?0e@Kok4-ptvhw}TTNJkavl zJmkIkipr1?ah(xqpO^8o^q$%TZR{3uF17?GRDXIqCZ)soJ30BU4sUig@p;)YsDA_X zN9p<>|ENzf%O=d_e$f{y5?_t%_H|Ed2`O_E(lLkvu(=`n3BN~VEQ>0!t{7J|O**K} zHJ)=+!n1mG!RNhsW+fV6amd$WrH4=)C~-*rtR^$iqG?HCR40AUi-`92evHKwe%Zv^uC(P#5z#!tj{oS`af} z8Fah0S5?-PZe>K4k31-!IR9Yi>Nt)I*FtoEoL+XdD{GaV^_3az zCCrk6b5o1ujV)TXR^-w>r{S&xXYnCsw zY>9uOyvs1N;*33ga||%u}=Jw;9cw=11O?=Hyu|7(N;Oj$3#savD^QkjDyY%Ad~WemNW0 z&&59f#u9GcSvXe&D|y(1DIo ztbroyzfI!})vT4%r?#H1jq%ml)jYH!y?EQyEi*4w9!}^N^EPVNH+%j2@lPUs zJMRgt7WhrOnvmwA;LrV3xc-CL?UN6a4n%viO`q~3?}TOgzgl{3uFJzML5ng2_m^5c zs-SqU%6ENxY;Zq5WY-w_{g`Q8SGck}{OO=-3)DAlIXNk*5;_wzQ~Lbl!kQ~{H~e0y*9{Y}U;Vzq6+-l+B5(Gtz6l&# zIvMLFEg1#hk?xSajE`C3CV0N6!H!sx zd^Rgp-LPm8cpqPbBKH>LVtVoWFbhBu>zCh7?QWz)Z?-Im_Uz2%c7WrjG+}?uefVti z%GQ@#T*S$P-bGK?aqA)9P%6z2_i-$4V;n5{;oUMpf9#^yiJiP@>n^WX7&ck20V)X& zEpoinod4p(*Z9S)s|9iK4WtL>$e1&S?Z@>zZ2PhrG>81ncW!%Bq`NHX4mvt7z9IQ} z{xi=HqKX46lHiN@aW~&tEl^JF$+~2eulVEpP#EC4_xth`dI4dP_8s>m&({eO?Bw^ zVGccO+TeC;*a?s&nd zG-1V`PrYD;<3%=pX_XU9KFSJnEe@x`2j$HHnM0S(%cp-J@gr=(dLW~!gIm4MM?Y(z zn(ZsnEpiqGMfvzvR~Vh#k@RT2H#@TOe8I05y~JV&;M z?1+h98~FxsENxl7S5H=stXKd@#9sFo+v#Lw(hfkvjeqdz206>x;YWnN01{QSNh@d5 z9hkPR%3Khy27BlkytSsN4H<;4U4BtJDu0ig$?NizGzv1C;|-v`JlI!vbejt5KR~?< z>iN9aNjIVYM^9c|Zgx6ASuMCV<!?8PQj^KHj`y-p{050O9Iq;YfEFqfrwQ z3@W$$98B=u`e*Lw)0}Ic?4Goo)9d&+;+~)9(YST-yW?U6)Neq#{xrdf`zaL_(-|{kOY2w#o*m+MO>J#df}dX zFA{tk@Sp?H)!&v5eSOHNYwDwWwX5~ZTaSWH|Ej5{{Y7v<*2(cv#TGX|~_2}`0&rh|hKM0=2`+YhY!7bZffmX2Hr_|CGTkI9pvalPiuxRYMgx59Mis9c1 zjQ?b9HX?yV`s^;l3>6Jm3JV@B#LA?%6{x z^?WKFcfARd0usRwVHyW6zj1tgLExryTUN}goqgFSA+Dq=N=@%2Wm4vS;RMFd}q9FPER)nJ}~m5 zNX;4_n`(F+G;CM^GGT-u?N084kbba(N2Zbf*TgpBD|0VYTx5Oj4l=L3_56u7$dWT- zcE=X%0UH3I|E!%zSbwAS3wcjewTvR=!pnMmyFtAO6N2EQ$~Hy-_cM z5W3}4J{*}98rc&QwRvnsT$95&&z5kBp~uD7Gh8}zw+pm?S~sqYAN6zhirN_qZRWQA`cRWM3`70Tap-Iq{J0qsg zU3v%x_yhw?h9JSZ%WOqOsK(FHZS60fjPJ}kCN9i+5VypoGrl|OH+cU`hdlR#q-Wh* z(bzf?`Zs8Ig8o-K)j*_dy#C$f)AD8qL6$#jC1Hs;-3cHQkN9UJBT^PvOC}+$HSc?Q zVH*T+v5c7(iO;`)`Mz)cGmlsHZDSD`wE&+u-;4Zj3wL2k0mg&Nb zzPfelW>@~?q#f&TXDT1^zqf|vQ|LE>+h365vlVN;Uft@nG4tfir1a<^Q2+2t4a5T9 zUvYIV`*EV>wr2lfSGVSEwmVss^eXx{s9*bCBE1ndhME4d6b=(NQrxt_O^i(*oK&CG zTL-~=^4&$SF#qdm-_TQ{mvub=qKYqHzD#QbJh&=a)HJ7qyFu6+yya_JqcCaH&bvo~y?4<5ptL~t>sZQer*_AOtSNuzCRxP{m;YC8G<7BDT=fW!uaoUr< z9mFpVtnj`kWz-N7m?m#4%`C4R zG3gJ_k&W(YvW=3jjiYiLJTmfw28Cz)Z#lW8w&DZ0eXbfMhX^A~nP*3vb`s z_70G6CBiZ1URfhBf(8Sk|EYHO4^Iv#d$t#NIW9;YbGW0|r&%V}sJSt!{l!xJ7B_PH z&W)E`O->f?Kc460zq&reXfgPBTy*Y?Xid26nyu(*zi#}R<$3b=S?3OoW=B3b(J`g) zj+3+cjW%a6Y_b)t?Zw9RP_N^2L0~zWJ?_bz#QWnr#10zAAOFa@QFD$TwfpMUaa&yZ zm7uW{5B9FaH6>D?(9t@##2udhEuPaLgjGXkM6>w(WFB z*?s5wg*8cSb<;}1Gk^N0HCHx1H9Gkc#HHQS%E+K1@=~_{t0gC1K94_1UaBaU+&N^u z!QSE3*XDNDK=bky-|B1hOXw^`@@YeSN;Rf0Ngg+Iu-wn`>g@NoIQ`3MB>eeg=B|dY zG% z3lXQ zQjY4*D2i2bY01<%(sWX#4UKrpynSwSOzm;i4%Iz(3Nb9~y>p#v5LBn53M z5M;vl{`=rh0Gb|tJ#o!39Q;3pd#D%bK9SPDzU61ACrn*4YyXAA_J0o8p67l2UFor= zWp6DWC#2ySCCA!w!6J@&H%F%qAL?cS-vzO)Tvzt!PArWd^0lo6z>l+Y^OL2)tesYG{@}%i z=+}iQPlq_-(S;As!k5s|U-3=nwi>3mdK_sQK>W4sUgPqv3Ao0}MEDXq!!K!(zuS(= z2XWfYufA5k(7zJ@UqK^x7oFhG{ylFU8K;|-mwjIYXG9TJ#N#h7O#B3y zXU0WkS5i;j*xwq{A3_?)r1@JZ>GH5qx~Y%bU#!DhiURl6w>*ebId#U5T5r2n`Ebv- zX5oDX92S3UdQqWeB=@&0n$6wd;My6V2n*o8ywh0nv+d~b3&}}ukIWAB{ps(9Z~7@P zI_c_h;?rF{a`U~$DLq@Jd7-lm;aCB%Npu|Ekl1qUPx`PyU5~PwUpip-{i_?JyM_k> zwyL$|@A4VxLcZ}yG5%*%%TlP%4gze}UmJnW=uZLZIF_0b4cD13RZ zacNiX>E+|xn!n?7LRXQct<&TGy0wDi5NJ3xD_uJC_vszh>;Yczx&DgZZ=7`sDr$A1 z!)E>ycJujFL2_|D9$hP_K!>^hqYAe&F#8|35B}DM8KM<5b94Pa8wwBiSZ0T-_Z;~V zwCU|O7xD5Ti`uwG=IoFs$pI7aVXLl0=sca}x3V;FRQS~Qg-hoD`Q58RqE0>>XY^Bd zv~WAI<@VY2b3&tfe)I%wDg|GE&XMig{8327tsMc`{%2Q|4iW6xaq)DI-_nhRSKrT= zkfLQRjtaW+q;cblmP`2$e6mZm?pEAPymfx+r>6DPXIojjNvhAF)B@L-coFZj`t8_| z+1)jtih2ecrZ3&UXV{`u`d+6k)?B)IQ2$z9XY%$jqYHel#FIfsd;^m3O%4LT5!J0{ zY`0F2dy+3|{q#d_I~iJ z6H(uB&FS2ZCvgRiV6-%%UsUKf`{mLJcuuTr_QD~L&!sC;+GK*?E{1^F713&u{^{Yy zZ!L}!@aC0;hw9@Co}X=Jx(5Lj#m{s0_}SDl{gcUr$d1>-8!fuHW=AXkY)SpQf+dxp)JqWBp)Et@-yCp}ywt!g1cpYt7&1M9!Ef4f{TAX=B3B zT7=}IK-BN)5UD|AlOT67Ala|rFBvr00a!lbYLlT6G^h(1n_3SVtu@FAWVmJnmRqe# z9W#SATrY>d2JZ$B-nWL&`&xlY9JdawjfMB;K>cF5dC(UiL$}EIg!Xfh(uxl6$|)YN z?MHimxFx8xDEDe>43iuc{JyX(l1xZHaqN9*>*Cd?hixF8J=z+tWj3)j%kzNOPh2&+ zE$n4_&XpYh;fyT}M{HNUYP>ST{!we=&*o;$pD{Z@UHjuZAQ`n{y4E6_msR$Iw{gGQ zHB(J@8rSrsN^ND==5{>T*(Fp=`*yaxEN--G*P-3td<~TkOTVV&PZX$+$yZ+wKe@2a zq+OI2wCUm&_tXQo_I$G+B;GWw#jNYLn6m(%^kstusV24{8zezFUvku(Zq-GQDzEFJ z2ohsvi!f(KJ?5hRPC?~M4T6hAN@|8&e*yzvfaU+d2KK8mGj$A{Cbq)N1I@{|O6Nda zY=ael79YVl-6Fv!u{x7?3*%r|nm>j`1w4s0@xd_1fCpuMKIxP?bBis;8Im`M&rVP$ z)z#IV`c)YOW8D7aYl(u|EM)~Ns0mWd?Ac-s*&tFT#;o-CjAN%`_4sBnGh)Ns{LNsJ|%;20MpEXYbR@nR!KV5tnjpNN^51^Hg=Cd90n*ZsvBzH@>H zk?s=AWmOe6bZHf4Ya6pDW)L|;Je~hRoUq+2c4jOKH^s4I4Cv^AI7NbGKNssIVmypI zo`{`hq?nUgstEpe+${FBSR;{z`{QcIEXc!RrtrO(nHYix)Hq1h@P4=-9s-pNvJS29E9O%F@X${!AW0Z=^~w-q@}$S=}NB^0`VKcLRh?9Oo%w zoW=wxOGQ{ILIREZ7zgeDSv!ow1RMw+s=@Sugkj>bp<2Co;SKq?JO&vg*Rr&%4}`8) zN=*uiKganQkuwXF)NLuo)xaZ2hNe0BQpFSkU1)0YF|I`%2Ov?Q!g!yn)%oMZc7DyG zxMHkyqn!ZbG!$SQZ|IaQ$9MzlF&k}uOO8pDlJb}GV1>J-44j7>ljRbIr-n0G?OkjM zh>F^)|Es+N-F{_X`}_ZD&*Sq#*|BB;0aXDoZ9}P_(3Wo!Ya$TpT47vA@`Ko#Z!#|u zsgm1EN3gnd#D5IptN!k49g`tq|kuY} z;+x0l^J`DKCUIl*FTiVT%6%heTd zEg&0CXFYO@KePOFxrnCsmm*jcOE|Fh3dsO|^n%(26QSe|4UJ-nE|g4${4F}4C(+`k zEI`n@Oy@HtYW&&-tYWkvv=A$p@GwZzfQeoIq0TH~VVr}ISb2hxVb-xT)irCp`Q9O^ zTQDcT>V7fSv6$1sYA^oquv`ho@`82r|DSc(a@~UE)Kz-oY@sc8DC92uokL4-Q2p=P zt)bOHx>b6vE|(iD7f`$C?6QBXy??2Bs6lL%$?Iw9Kit$_<>)wS)(v&)S3NGlZM2S4 zzglJt!Wjp$pQ=CKBr!we0^oIWVpL()7e&dy5ik94Q?Jj_acZv{>eyAiBcVyu3yDnt z4YaijwJ;8X#1w#t;1?*?K?NeMRH>$AS?7hsX#VsGXnzx3HLIfdXSPJ~F~?QaW--&k zIXSgKgbSz-;PbL0#h;UKAuSuzCjmt>qU zh5+Y4k?8+{85?MGg9O3S8enD$xi~uaMfe{|%`66`00`z*m6FmHW4w%dC8aJ_@iZC~ zluCt!!G$V0HC#!*0pl=ASjEAG^kT=vz`_?wxV?lK3z=Woh|qL7@%g1s|60@a=q&449$0QCxXR=BICxw=LpjWpYLN-ijnNUGx0`&Cj71VVV zl1&QgimEI}!`!5lVFQdZF0R;tTq%cL;Jg-LHr*o3rMnuCLNO2~c~+sv9}sGiFo2Ko z29>gK6C5b@m_m!+FBq`|z&-^+8YVQ|19AjSHwQLt9jq$}rv1}agSidvCm>yg#L7dH z#8h^$g$gQA)w_jaB@c4GDl6ECXVTs+{6?2~s4Spty$o&PcEzVyGZ;sp344feZJCUL z4~7DTB4&vpMhMcWb^xZhDYEJf&*{r^nF63V;jq4|JI zY{-41bA~{E-hJe^zUaRf(65%mWkJh2XbF^xIsIP6fi=jfYWh{o=|1EU!OxKAiM)#u zQ$y_j27o*l*!+D+SO3B8L9O&>kSsC7a!fsk&!y$DMm1gA@7G_ngpq4951tD4>MN?!cLU)|A)SSEyf<6)FEHfubiD3k1ff%GNL2GfJ zCFbO3nK;I7KEq4HrG_5Bh+F~kHbklMWtT?GyiO4ey1iH6vam#TAx!v}&_xP34;F!0 ztA!fIp%gcM3M`CB+^HvsD8Nd0!iwb7(tI&Z8*dce;ch}iB`*~iFR~ir@Z#juA~|A; z-g2h|%xPv7W@C*zCN%oJ(zdRdW8RbVTIdpS3Ml12yji!_nTr^tl^B&r3Y}>N$)Y`ngv{17yZ2f`#U%epE6HHK#+?i>ThkX4n%QyVxG4 ziit2Qrk{+;Db)(rBh_WSxq?zAtv#UKDQf|M!w}vyz%gRUyBLzx zf!3%TNKjn_5bDdQ-%8Pa z5b0S&u=WfRpz)AK4Cp#;TzFuZYwW&kPV7jWO-@w35o;u`j8#i^2NQx#21A~im>H{{ z>;SqxoAgtS#5IyX#}%% z3w6E&BN;@_DP-m;C9IWqoD?DrTJ#Q#N^uCEG-N&Bzn;h5?Onx>VGcl&KT&Vx}nkY28WQi8ds{tnTR8aWiW zUXQd_8|aiGZJ@J?S&XK?i*P?+BrqX!^I7D>0(~;Rm__;*VHk_1vXxQ|JfH3n{=tKK z4m}#dK0Jk zP7J_$VLr2XJb=eV&?X+YAY$Q!(Xn2!Gc`49=JU^Nnh%<;Cuq9PxC20zO`cM*3I>tw z63>{?pyv3Iw-RT94t!ti9yFZZjsE9}2hUp1Gx`}u6 zq6rfu*)M8G;&~-N{TKU2=!0P#fB4HS=5LTjg9~a%p0*~(ki0J;5)WCbnaGH=Los1a zs+~Cx`|xfHH2l>e>Y$fpjFBM4YP>`Pc8pbwG&xdC zlpQt}ELS882MdvNZ9o;GD>-EdKApsIj<^P}N)X@~YRA=&%c+G*Ch~=Bhq}N^qP?&J z#1x&&FpgW^zHE$~CRGEQYeyo3`znWtGf4xLHo)Mam?az+jFG36$P!osD_ILGxhw8n zNsodlDA4$U1^VzcGl|dI7<%3X_Zb}QxPm$*=D-SPz&a)Zw?{JQFkOPyJYLni)?IW7 zTI(2%wxBD6f zaMWS=Xt8&$3G#2yESv`pCygA2HRFZ@9t%*amd6Sg=5TQau;0y!fqdqT05Qu~{kk=- z2Eycpf^beY*GdF6=eU%ZkZd_YPBp0Z!v1n$?V2#Dc`%md4=l)F0uOhG`~rnO|4G3( zvFF4v=&?eT3~l@MwdMD<)$VH>t|0Cw4;9FPDUT0B4!a$RGvKAyKt6&hIaROH#MQZ) zoX#93X|2RScCg7Iu+6@F9)23|WUAB$^guRA$+3cw6GriMX?GzrgL%?`T&x(u594Sa z*COQ9D(G)I^p^{UNiQTj<-L$}O^((hWN20+&XE z)WIuSKQP=n#0@#MG}z=jIgxhMOrR(0o!Jw4ve1CE5oVhx(&5jFy#l=Q8OXBW0NVSCO&$jts2_$s@kdq~hWYu~ z0GX}L!^r1i4a-^e0IkK;FnW0ia4zsP4HoJJfYacra~c6~TNS<2+bG{F1Z9W@+-->+ z4Y)}#J?jZJe8%-y96QM%49MT*Q=y;dN{r_T{pkMu?ow54Xx~*@m ze)L+w)r>kjjyfaczg9X-L6FA=sgXAcEy7Ai0DLJDhS^NG6V?-6!eF}fa;j3P;{9v@ zElBxGW9bla@Gl}6)g{*f&h}W2B#Rc`Btb@vEa~NFE&z?8kdSOK(84%rQsNTfCio4y zTmkbx2=_(M^|2oTtAZ7A~W5&0OhDG4n?>=9dHH zskn;Sgk!u>0Dwk?Ht3=%YKkg07rxxZPfur)^TipH7@q3R&DBA$2agmnU`4)AAf#7O zejvh(`EGa};P3>o7XB>;hc>y27?9XSfJkgoTZW|fT}A&8wh<|jfYU1maZ|m%&WDMK zi6=~kp>u;?42}zkfqg+N);mut%r;gt5L|q0%t;;eSs9fnp+LjKO5+|7XHGaXjuMYg zI5}y^)jw)D*;W}jQPr5MAL)?9Ad{4~jgC%3tF#-;ow!vN^%hQT)e1_VusCTtYO?B+ zNDmZTjKd&@O6o-nm{+YxL3vARL@H{J>=hio*hpTA23nm1-#MtkbEiS7V+hji(!_MY&{6&GqxXv@}?||Y$u#jOaoR+-nCXj z`O59jp!_-b`W>a!t&LE!db>fxYq&4ouG#Pc>Pq3ATIs{q1}KZ!{vJy1LTj0n*qvg- z6DzK@V&s(Vex0rcM1Y{{be0-b;1}(@uhGQlF20ouXl=z8UJ<;7QP>%2WeuPQ6u0Jy2k+aX{^5KNB&(0>92v zhATr?hV&zsE7gEWceX@yJzu*dbXf?-=||32wzsH}ZcU?va(iwOLl! zq6FtqK0F)C^WZvIo&(oF`R$hRy5Ix2UKT$sTxCD0aeCL%AJZ*rD16S6sdsUTcvi zz;&1`968|wfSMtj1es6L2{3S#jNbyPydoGH?Uw{Wd95k{u5VRi;i^*kz=XuokvprnKa;Y5=5>km3Nr1aWyW zwx)cuPGfuWC)mx$c6{tN8I ztY9f6Re|lc#v?&ZrXFb>6IU#w*y4Ur{!P^hg3Cy(1J9pTUqN4vdjJMJu)gFY6cN?&PA|Usfq6wV=?N^5$!v*w5rTOhJ)jW zWkzbrHSrJPb=f?8rdSK|N||v;5^hG`RdR$zArs;0nvzqX?#!wlk5`KICZaR5!s>y5 zspG)`ERN78xh3`_ANsEn>4s0jGp3u7f5|c?1>**Ylwi(#W$F&JFAVYT;t{*^AbFYh z@pf?@X8R3-_u!B5AlXIxhTC0Z23*o zFsJfTq<2F7#A%Q$LwLBEfaEDzxfbY=G*x~UB02Y}8jd!>6}3vLb{aXRmPyr5qf?E= zQho9$$TY)XU2?6`uan>|1WqzW?$>!Ao^(D+DHBzH*uEBJ|KNUbQp@8$;bj*0k4pZW76lMX%CREZ~!_K%?AuW2%kklH;;7mWup2~L z+91IbnD9SsL4ER0k$0X3&JFGl4h>x;2j{>y4_g0oE-?zc$30XqJt?+u6xRfD{(t8f z92S}QFaI+bO;cg4}Gb)U01NW1Vw}$&M$Xmhv zFx4?wjCl4)^tNXTyCB+|A&=65ca~`%<`@!2LHU z9}M^TD&*h5z~ic-W|h8y$)A;j3kcE!NKYWWhSUVXoWnGpwEB zm_jM#p)eL20>m^G|JHiBGz%RgLFIfQ7uSQG7(wR@gSNZ*%H$B6OiwgP+b13Jg)hnSHj zQb=+QU=|7moPx(D3K?8ovPQ-MD@{t>lOhCwvng^Nu&EeX3owr+5d+r%dNq`P6(OA; z!!`w?$&|xHke8AtOh$4ZtZiLVh>lRmX(gy0`LSeFfRZJY*-%U1ys$in;jC3Br7qA$ z1smY9v?v&wxGQ6YGAf!Fc-g{sh;kx4cEn;3H%KJ6S|z zUSOde3tzG3X|3dXF$O%;3rqwoNb7VWUN6>wyoE49jruc$X$_ggx+{kh$$&mY2%4B`xZ(Bu=7%wa2D*oSjtQtB25${w+&HoWq{xV zG{m@QO$pLu;RkWqQJ6ccj-LN2X|NDx4mmXYSSbs*gb6b?ViFC5XW(PlPD5oTI4J$d zZ**jj8CfQFhe;w>6pGO#P08CTD>7BK9iJJ3raXae{U&ibe|m_CAl8h`5J&Tqa5!0n zK_C_zGSIMiv< zt=yrG2dlSMg+YntY4kiq1+<^61yeLr>7E{#%z`H)LzT{HRqQVJq~SlK{7??5tP>Z#4-II4Ht41xVwC)&4Zhr$hH!CYh3R zRqlP$n%Gw|4a9{8><@U9w9Lp3F;<|3_vB0n0)fcG^-2LdqCbemiKb)#Y!$N6O-Wyv zGXjXa(u%YICzTewZVGzONIZ!yw^bsXHHDCuUMhSG6B$G<1$YWv>*ee5`4vC+DTq%(t zsd7L5`xkJMB7-0zV71gV8I~LhIUFGPp-M(gAVk+KM$nD{P$DJ3H4&yP1O|;^ ziKV^5!v-7-=Kl~LcfgN~n70Wr`UVmn7#xQ52bOYPiO3QOj~!B7aw=dqtAGij;f9z4 zT-Do8Ni8n6BimG;L2R`CEi48q)tQEQGoZhZ(rlPvn>2#-^EV@n#Cr>6R3qdPA?GZP zgvp&Lbey>r;onMt`GE8G0^w&_Wmdz^e4a#b)Lx%=z+V8_6 zqs4>yCUleo8t1qq`k&{-{Xjn)0Nv)%gJ4b#Rl3}Mkk9PPzkxhbz=n%o0$QVUq6CmC zNN$?|6n@F=Xvo_tiK{oaXxNf_q=enA-{HYVN_@T5=qG_N4NbmguxYG>xsMp7$yFc~2qOh!sHgZm?`W)>05$_ z^Ab3qhsXH^LYs>vYK~5IW`Q6Ioktb=6NheF3w(mjV)V!hba=`rXp>knnCwz;@WG_1 zd<2-dY;E<$g;^!M6FTuN6V?|vhC9T%3-{#=1vQcaZ>B~4 z&=`y}n5>ZuCU1*v08}?5NaME(M(<0gh!Werr_d7P`5`(9m_tvMKI{v4Wt53@bfzT? z;!~mmw=sHU5ahf{%=%t(D?!#WBFb!l^$tvfdE8WkR=bno`6{GeXoL151JfXmWE&01 zfpTQx>p?1o=Uadqf5QDKq$X(dF#8ao)EEgdMHs*-QD_D`;1a4rp#ei50%&*_Gi+pl zp&>Ln5qE{dxbJ0{bvtd2_ZMn>VQM}VV-r^jC&DTka^!h{YHJ$4v#DU~&xowBn#oZ~vesHzGWDt@&*TqId)0 z&A@sSwckAm(tHK@A?HG`E9FE(uAjkT<%6K|*MrFw@}YQ{2m*Vh#LzotP6&t-A!S3d zfu0dSr$O&_@QmCS7Xh%y;R|*RvG$Iw0MTi_@F5+FXjtb5#FwZtgi+MxDypB#I)O3T zI?gEpF_s}tdrL8}1dy-R8`_Ohc_gH2tJNr}nX-29l6EPPy^qF3bNJ;hiC3vJH$q|n z_SGYE7wY5ktN_AR2*Lt5QXO4CBZm_va0IS3(JJm&XsKGQd2kn{MpKxf&iBF zy?=!v4y-kz-RG=ehI=;^LxX&?&^=byis7yo+)YK%B_6_lAP^XCrsPfWK=K4E?wryV z{5mH8y%)bwbGeE*pJy!CSE2^v?k@RNEY8!y&BFTQFw;10oCIRi-2e@L``3*6*Ba0> zGJw%p^yuJ&2Y>!R$TR7@lTa6CV@l43xr~>8&QVdns~B$5YGeaqR$6@fI0!l9hzX~= z5uRg|y&-Oxb4Qh1f{NO!(&Br^v18S{rE8bXYZ7U}4BxAs8>;`#PoaHXDz5cE>_Q8Aeh_EHnXcP{J|J#U2Tdlfqlr8#&Bcd?kk&w{|5J) z(&_jjxL=b_!WY0jUD|6T+JhX6fiN{cCCbkP7sMRMjZ_Z#NQC8^2?9i#);q;EZev7@ z(We89swcy%oBLjU+s9R3*P&N`(a|N~R_F~|k+lGG5?2Fbmg4Z1npBG}Hx&V6mR?K< zfU;>)f4Fu_dTaDV{eNgP&>=+;@WHWNnzx&Xr}-hSAF?#)H!Z4(zYAz({~ZQZa2)|2N->1aMBHEpo(n zCP6NO&i#T{o%mw{Lr1%c;b2%Lp<*Q=(9dXzlSqxHSv?-;aNf_y24->A0JRwsB!yfg z7NSdgFD}8zftZT&mKp<`86MVx4ZUEOz_C16Fd7HODZ2=P3D z3%oo1U+*Hp$%WRP^vM=-1#4yLg7-vBwe^(<#&#((kv1}rTrGwzqBJDt(9v6Q@7{iE zxtM_1gUi1F9?N*Vdv)|oT_a3CnxrS9YVa!81B;tz-0-f z<&Y9#OU4)44m$y2ncTA(C@@Kjpy=>|nPiv>^2v4(hhjs6QIw0}j^s@dG_r?IIFPmuxEPZ+N{q?9 z1%!EtWmuxJ_q!<_T07IKVS;wX78;Ym5;4^-!#Fx*n;4FzVR7h?9sxiPl18Xu!gTGx z(p5i`)D7e0)KTc)xs2Fdf*S~w`g{O69Fj8q1_m3ENAiikO01&Mo4+ErkRuDJ(@658 z-dK6#z~b-$+r~|Z!o083;o8RJiu;jJ?j|k?Rc2bToAJOY1=!t_JU{`iZHTK{za`XXa_s@M6{gy^$v+8XzEh`}nO8 zdIVU&(9jnvZwTnNleHN&ECD$a6co0$XU#;8gnABEyWEZrFd|&4Ae&k(Z0n4AuK~avbRgJK4KBR1XMJNSHr}7DTByIP(4b z3!k@P>bkfSJ`iZc8iKz%MZQ9eaKzQ|esoEIs!hZbs^L0xZnUaVWQJ?g&t9qqQQ{;9 z_8Wk8DWsK<)<9YhiIulETaTQeP`l1ZW@Lb-qcRM`xM~?pGC>Xz{{pB$QRE5#a;o=o z%C5fXa>OZ_z`lB;%X>NH0J2EV1Tzz%!*m$vm*fJrU7$yjMSA2raQGYY%@YQZObrg4 zuEzm(nb#vg*C^8f(0?iQ_<~%C)H z`lM5dHytUQp#_29;ENUKacLy+c>_VfVoghLy6X%j_%8}3!yxHO^z|4zEE-TEp)!=l z{J{}0nx6^Dk`MI3a(2RK8Uh^S_`qNnU@#^O_CsK}K*Z-Dc&8JBm$6b5zWi%atUQR_S7}&U)f)g*Q{*3W^ur9v^ZyTY^@4v= zf2st?I!D3#I*@%y>$m?2-iN<6p@a947(!tF1!DBYfrRo@rWN?Zy-Sf%pt3<=b%O5; zB-CVZ5UZSdr7D|VcQ87uDle8(I0%>4D)0-ymWV{X!J`ue@ES|Wgb<7o0A?l}Fc^?o zz!Uq^pf!4fy2ZdVEunOjCS<$}%Q*;s>w_E!n*pfV(;Se&#UP?>Y5|kp9`vPwcb*yj zzAOFyM};QN%LTGin2=Nc?!h3813gUAf@mKGygpoVhX(v0$-Gz-k_#T+etf;qy#c)+ zXfVljG86y{{(J5q()m8x-#la#!6$nN{AkckfQ0)P8bogSJcD$o8w3=80iwgkXYQm5qin1I{q=?%tgb1MsG7`H5 zqJ08)f&>B^njYCtvW2h`Efnq<=Z2dg(GTc0My!^FgNw)s2d8W&a{L*R^W_=f-_c~| ziqNpv;E?ta#CJUufqDS%e-!;6Vc#7eWwHJJ%$8)+$U-V9u-Q#QNP&bJ2$0H>-3^2; zf^zHh1{QXEI{IeUe%aiauuH z8YJqP(KqYrLFWj5mM46yMOItDizEj|4=X=VRHiS{NASCx`}CFSU2s)9d+TvZ2-h~J zc%#qW9J6H6d9%DSkY9ll`dx)8zRn?jokKs3bXJe@dYkd&+4P95HviNC zjWU&=>fQF$;-%VY{X@!q`AbIexsj4^9(<@p=lU7Kd53k2dED6OH11A))itvn=acMa zAc8;ds8lxyM0?H|l2)bGUfN=wknWqJzC0QjFg7L}1M|;gbi-Z#szOZ;e2WBM^{N8+ zBKZA|-uTXSWmQ}=ht`JhB$(r0TQvfy-xtnpLFjyRj(5#x>ipA^8vPSw$}=4S{xhvF zTf3@7s(yu-8xDl?hphc|XF5VexCn38ihrvkMsBM}1-4RL>xT1hT8%lMhlWS+KU#X} zI3*6j%n|(Oc1C}d!#MwQWfwMTvYx^YOp^{8cYdCnwN@mNbsdbE6t_$tqXP|qpA8L8 zxX;2wBAi`Bb71A2f`2*q$8p!Q%S95S>+}ABoFjt&*~Ri`up38wF>LZi2Z)$nr4C6M z=^<0@Y}Sq{D_y)K0}?9@dsk3La|RQJu>QRr}fiwg*0kO|vV;c1`}OaQ4PuqK5XC(I0 zjL~nshh~hfY{7tI%$;9NNmuck%uE{E+uf8r9fip6vsfXc)D?}Gs*nO?b z)ZhxyGG6yE>?XSEx(Q()xVn16zIFxc$|qo-!$O6Twm6)ZpD6akn&BFwE4~|^5xMrhX_DReOmk|NTvv8y zP^R5j(;Op8#e;m!uTEQT_w5tdyd7H{S&FQ=`0mEiO!a+Jq@4_s^{H=O)8Y-uw%=F1v?Z$=Q^%(RuI_!;Rv7ifrYh(qUxvjN9oeVeeFY*II5eeR$G%`nyokvo_;*-}Uij0#FQS!1vjajFI|wGdm(? zkV0|W9S8`~-HfxopP_DWVpznK;Lk5{I!z~CeQ{2E#^sBlRng$56Stp{rYuisV5W%j8^)VXxw-gQzb&s1Q+HAS&?@OB!GX6Af7JNKm10tB{O!tM@T&fdU5ToMU9_;w zDpAexXh>V6lEc|o&k@nc(MJCtdWbZmjmbYOF?cJ6iRUc0OEstqVSEecKeUDOpE(uf z@>A!|o*ls|kHwjX(K4K`!N1K;MJ2NEgijN~ciR*te}#>+O8{>&rlD}23V*mpaCql= z)8yJE21HN`5!Q4@SW)1OkRbqF@et5n0?##|ZzYcfwTUdjIWzaA?x8N=SZhJ4$FiIHML*`7!Ib%aHy*g7N%$iEyp>I{kv@Q zMcN4m$J=EU5x+4vBxp z!HqnJHMFD0#f7a{Y-Q*2EfGh@Wx_z-5CedKOq-R7lwkkKh19ztt#M3g@DX7D*D5-Y zkA+*L+Mj>kCS1Q2-syy4U_cBuR!=VoB|ZaCP_7D4XY;(kN*yH)z5=i#9$<<%1}@Cb zz=;Z(%+rHNJtDw+On~>q%I z<935l^16U>TqmrvdA>keMm{0i>|$2TDnLhLpmCP7@aK z3>`x_@KOAQkAP052OX~Q(T5O<*D!>9g>u=!dE%zm2_aiIy)N3Kp}&Mt>@Ie9;W*;* zQtagiko(xyNwMD5NqUhnSQn&W*j*74eGL{EMtp+@$1~<|{%f0Y|BWcaj(@-hG)GSR zJMk%d0H4*J_~5z#v1lhgnGfJo{ue$Ep|e&g)s3FlYZjAL#_8TNJJ zBUkr8rG@i>mISoWQX%E!PMpFXz^SjzO(Byx8ZnE6n7N&J{frY-ZzV?k4_gi-Ae8<_!v>JDcuJmd%nkw<8K}IJ4$4S zwqT9%k+I9|%@)!PTw8RLwPR!<;Xx_va15sfo*UzEM^m07*DwW~-vx3?xFn6_t=*y>GgH zLUP^lIfPM16TR z^91wXH3ajK$BhHp6yYED!)eE3L{=1nl`G6BnAT~=`E5P=jkEjn=fUM7uVoC2zuVnw zJC`Y}F_^Cggdbq8!d!+i!fa|V9&ejSZW@EzA0fw#^V(xWuVLcG!Vxp<^W(y>cMIb$ zxs2P}lLj>-o1akj5HuBr;|=(Kn?_{IL2|}C>02Mh*Sf;^Ne=IP=*LfT8t=C&3gUQ| zMUVFMjMLAvd{kq>R0vbXhzxpR@@ZTM;PU#&c!^G`k=VEa?C3X)uW%?znPw5=)L-Le z;m#7lbNHoS0||M@X#A}>a0Nu#TbkxVX7`@O`1@~TNs%%8_i;pJ-1K_{i7@W_J(~C# z&-`9R&KgJk5tqLPXh*;>>UoQE8t&!5L;<^gE|JZLz3vGj`v_G2VF{5fgMS80*D@lL z^4p9D{=j?f#{c|L8a{Fskuib{LCh_{UyCwz2{n%3KPN3lzmAznkjzfHj%%OAy7R4~ zN!QHBHW4G^L3{%BehmXDNNow@{|iL|9VKL`v7;k8b1sr(-yQV<#E2o6$f?A}BB&?J zsS+7=Ivs||OGq9D!4H;rrKJ#nDga`Y-B@tv%>b)~OS;;`lC~RvyOT1H)!OnzP7x3D z7Tn$ON4s|Bxr@@9jL#JK;@DP+D;e0N&FF#NvWgcEK)%~*DKUVvEev&eUiSMN>__!`F~ zKMRPSHs;@(9#GxsUvE5cZ~DM_o&G7fmgAH-2kGBa6QPSOgt8pmghYeY<(sCC+fsf! zVvZ6Z{=4Y+zWk zeG8UZBW|K4vEJYScHRn;0v&G!NfCEFTADAg{sr+^Z+f3q47VzOE!-T!y%oC?Fg7Ag z!jBiOt@r)wdDaw{5hJgEP7{i=I)=!S0LOB876G4SX5&=r<0QcNku@T8pzt>tJC^6i zh4S(E-N$VF)%tky$2jx6(UhlS`td}@0lI-`n3?)e{#Ohiq5Mzw3_$xYRQcU@8K1Mo z40sFCv+ur&#vOcKJN|> z<`_9xXJe%CcD8#6E1N-NkBkWBeuH}}+(Td(N!V(NA%>@n-${|Svr!mqGjM|oyqofXO-w6SGO6;A#~JB8^P z{Jx?5i%w2ClMz!%jJrDK_;GQ&7KHNKY|~JLP0+Dd?KF0C&Iw2d$m;^gIAfJFzjuqx zX?n%UsXptF5YCvY6|2wHWkNrO&8}*Z@K)RtyAePKcIJy}ZN`4CM2*lq&;+a~i?>N& z3z6F&{&gN2RpNga_@4(IGB6+=TSd4R;g;~b0Q8iA!Y1R}uKeDQ00oT{3h7shZ#jiZXH4%$qU8K2@2VS)V@d^(3v{aJ`7@i?>~?;t1UJp zt_K!J5q<*3*lp`KWD5C?rVuhI-~a}@8yF@e{Oj};LS_a$BLuMQ=FxkEOb^II02?SI ze1AG!MB@BXtxZsEDB*k1H6pTD{lDG)Bjhy@`7m+k$3PKoSd97$gdIRw3H%q~{s8Vd za36-PhkdOZ9U&(DL_&y5VFbz+jNWK-_Qr-`f|I#v=)8jJ;g+z4ax zx@+3%q)su>`u~h|!>?$aA3aUSOGx~%8fO5GmZB;Ga36ZtG)}mE3zSSV|@u&(tOU1_<4sp>+~6LR1qaSuqJlaiz^BY^r>FkJ!6vW(VANou(LR2jgs zkl&H@Z0KuHBL+1iKG+KSfgAOTgB^~PuXdR}aamgWjOjZ%T|eb;D4%W);oEHS?|$3L zsY3YS_GDcpq}JEr?&6xwtJyMyf7TkpcZEKe9PF=fC1}k#!I)zea4W1yCq(RSVYCxM zwj!{VajO0$Ouf-(O%XaS?)5}Hi}r_QZ$l_9JVW>bmwR=Qf(^0^$L2&Gj9dF+dd7#S z<~BS-WDNTpl!P@qR*exyn=>ClX<%bR?~i#SrIsjB2^u;2T!mT8|L97`p`;X5#Fm3D zQ$ZuUXlCgfk&aP>#DP_AluZ3njnibHqkYK`@*G{`OY+H7`h_onj$c=~Fd;QG`LKQ5 z8Zlpv^Q|VRT-b?q<9wmM9j4thSVnr13ffmj`jeq_wv42OO>l-n41?_l=cu$$O`=6{ zmeM^k$O6TKb#ZsQkKat|ItynUj()~ef7(rUdJQX6jS@#%A$)|hpC*J41(X;83J%_8 z_t9$9eE_Gwo!yf%_R}4eGh4*`x0nGqzM;}#vIBH44_ZD{=SR9n|AI4OcjON%vl02Q z5czvVzCaC#Fl#KR!J6tU*w?WX*a*t74sHR}OR*4Kf$-5VGhouto*u=pv>R^k0x{C= za$SahI^r|-;hDPF3Mua^^-VL}&HMmY%r9_zAk<8FwJ;gfkdl%5^b66?0WPsxF-N4J27z_k&bWk)$rixp^U|--kXMNK#a0`&`g{v~ZRe z!spsUc#X?li@rM_RZj!;OX)eJ7kzJ|K0!nocLFm>2=oZ5k<4Wa0Z%-O?ovsW(jD1| z9!E7nWT0rLjaDN1z~_bN|FI<@`p1a=rISTpgXlgx;t~C(1w0O@+jC6=P+2e9E1?`? zFa170g`D}eQhN8$oA27_?dOs zlMzF34crEpZ7{FGyb1F@3_AdYvP{>*O)ZJ~h7eU;g;TDJuLBbT7px1;+yw>%T%1;6 zzQ#d3+3PU12A`uqN?iQV#|7bY%O2>M|RNhbs7A2Fo&>>VeA3$M2X7cz!~ zuAmgdZPKeaqyUB9fc+xOCYXAdeK5;m7QwK__y%fG19vG*dHqW@BK|mT|0BVf@{HXF zYHf&G3e_Qc`fMx+Fw{|?(SwM0t@)au4iVRb|HDdBWzECUc~fc^s5#{bsMWEV5*wZMdm#^f%X(4{$h_7;=N<->52D-)h9K&ndw<~XC5KMyFh36AS3gK zM6yM?Sf+(t0aFO`B+Mb0X)vski(tEnBk~aEL)%}(siEyXK%7vHo%jtFh~vdi zsdnS{ga^MQYm5g!6^JA2#E&T5_(9RU058-U>WLR@6(gQ|8MQ!7l)*wd)OL*e1EloD zo+Kih08>^PIqdl`5inIS(J+t0u+q3o@-lS0vuaj9P?Ah~wI_-0?QicZXBU<# zxck7o48txj-J^Fh&Kmw&DA-N?j=N_PyXk#4lVpf(a9}QpB`>iVq*Zyz1Cosdjc|Xo ziOAl8-MkU)`8A9Pk#wq(MCHF`b8~~Toy3lhi7W;j-)mud!L(xW#IlD}+e4Fw-88uY z1O6yBmDx?7)1yi<(C3`>j%l`q-cgdrx^A~h5|&;U@gG~5(n-7Nc{)=?W|9)xs3N_i zQUTh{bb#A!xEYEp+v!`VC?3O)iVleCBO%O z38cTI0}4Os{RA?VM9^`G!kDxn5x=j{FA_=GpbdaMALa)XzXG-c_E^{fFs#l;*SXKi z3gDj(^BeyEwukoVMcyP=>G@t{_K03)pMeYN&fM;L$Q`u|bNss1L}tNwdT%q4ZN?6X zfgD&(WNd+c7a-W`MOH88hoi!i$N|@OaeqrzwV8V#UL7zOfp1=>{Ojh5=G4}`b07rM!L`g z$wU=2z&1@iRsE=%DexOXuO^d((Wj9ot8GSUR@0l|X9%*rP&b%u@Q=arxHm}WZfqhf zZ2xNOHk1D;cU!lyJx&T%O{o18wDcMDtrU_?#?osknBNm=|5Q>;oOE?6DKngN6t-+d zj^2g%CFJ78;1{?L?IE&1V4s40ANG0JKfqjrJG0&o$KkPhkX6I9TJ6DxBNU=VR+W!7 zr68n43T4i2jZW_SiQVNZv&&JEB2+vu1>2DiU64isluPVlu+fH%4lhcA;D1+sy**SH zR@@yRui7p6HreR*G}2?hhc@rYw3Pn_8nb2C*na7_fEkO;Qr=A?y9!|&M>C3btvhW? z!-61%O8bzM^eWo`C_Br65c2c3Rd~UnE8oYSuI-8}p10@1x72n5(&v@x>?;}Yb#u~^ zKBQ0FL|d#*a+F|XyqHy@(C$--6$K2#q=U3eUlJb0h)m+x>^`HB5n3d;r!?O0PDk`5ks|H{ozjGX6mj{J*$kd775R{B#qQT6(db#L}YU$n>ex&T#u25)4$7GOM!SifapfYPS*4V!= z5nbAdDA){K%^;&lAFAp{(zIU6vIgU&IBN_EQCR50W@TUvr2u}m>S8M{w(??)Y8t{= z(~gEc_iehf9|p1SX>&jFBzcSG_9wZ9=dF8Cn`~ro0LEfmXtDUqVZR9THbxIQ(z3iE zSlW+3;gies>#11$bNku;=jN&2oqiGg|2@Sn#@zqK@LxDJ_bnpxc9j(C4kj0vbF1!& z*!z?Wz}hi|_8x$4<4;Eo0IBVC%>Xhfq}j3?q_Jbke6wk;W)51Omi~eNA$u*);s?aP z@neE!9Dc;n+<_!3q{=c8KMo3u>|9L_epu;i14(YkBbH?Rz?&yHIP0TH!;dC<13yR_ zO&ElQVh;+|;4kh(=MMm9`ZxEReY<9}j7xo!YXb|krVGoD>HS964b8&=p0qzA+ zbpIeSChrsTw%gwBy#W8YI9a_+4bDP)ARjBJUbUJiyFb%)uV7bS0etvLwq|Chv`nGa zvyF7{VUje=|7ULIatIf=@q6K@mJzGxDorB33l0jPs zV<5_)v6-N#oMvT`k;Fx-GRY)zfqtJ!77wX*=^q+_{tXnp#jb|?G(#DUfNdFmg4h+(yznarzvfZDVPsNI1Q^2I=F4^y_i@#8Qty>MR;I97h}n z?1MCNo)eBZRGd)Pm0us?4Bo~d0i4MA|hupPea= zgw2Zn;87-PvH=K#vI5yyxLNaj9roa8IwGGafN{0uDd5V3Kj*n|a{i`S&i`s-UDe%x zo&d%Yx;md^0psP^cbpL}V@f(PuA&$7aa=5>_wq@8U-VNOmo%tpB>1J}e1+M^!*nL$ zqlD73tC;N0w3&2X0qHqX&d&s!h@78@ydM+t?yu{IQ{=wL`zdFF&^7Ct`rhuV`42j9 z2(}T~ch~(3Lj5?FN%8avHT|N1jM76W5E!x>EB8qaYmS#Jhi`F>aUg)VPcj0sDc3t; zu!f(7uz`pp!+|YhtLp}T8TvHifMeIrjI)jLov=O+;;k5o1DqA~t&vzed__-;Bs1lo z9`je-YnceRGMGbKD8vhuKJL8@9j`|GVRV_A4DoTV%IOg`DF}QAZ=bMT0B?HZB=s3Z z1|%GG^*D^%x`|9hP-Jtun(QBfLL@^=FWFvG%X*H<^bVal3hN|0Jv53G_q|{t!z$J6 z57~aR&ScWL{%B$RSz*xK(gkUkL(2yTWiLtUASOvdQwqt8K8)jYdz!btPg7Jfy0>e0zpMcGVLAGfM zgn1j>QmonO5XR<$0+^#9S#>o1a18oqHT`i6nU90qB3qxrF6Rhl-dJfvKV!S`_+ zQ!3X53J9sbm<*D1;nguEW(u6FkU$3$EPGaj!C`{2`;b;v?}z?= zkX{{2V!JHHrQ<3Dj>XUO(bQ)gNsM|#SjLI@6KD-AF-yn*ywO3`_#e)cNHCF7q7!MO zO3FvM$wF6+Bbhy#Y{Ls~35t9BsSia*VbV%g%i4WN3G`omY@?SDN3_RAyNxGflB1#W zM}}*>XgryxP`$gD^@ti&$@jo#Ey!8{a~SZKdTu%))q zXC5IvSki5ekitPCXzFo4XCXT!!qX4sc%&i>One&~Aa*0X5kUC=Ld$bu?O*;2P8vFe z#CQ8h2v@0xVjYyE##YpMR6B(nCk8{3{FoXrOdV5I$L z^9Hf*ZvfAhzfvv%Ku$qLQ?V1>L^V?}K^o|ysU$(cm_@)Nhi52J?TUoinWvcQd@jk_ z+zu^BrWX3|sbsf^#?YzLa0vViePtRBf*zsgrr{uP70oN#J7wdZ4|$%s->qaXIne7fNWADfTu;s< z!wetT;>slVWaUtRX;aR}J3#%EvwN#cz?ruQn+VlnPFYgX6}4Aob9Wl?bqLa8%p-2??kBN=bs~qLnt4lB6N8;O&|6`j6&I)Vdg{-lt5|#I*hFZFswk34UyY zj)q&x8)Z@DMAcrdDm$Df`-ZV&x=i2Dj9H{-{JT~kyxZ_?<(pWQC+2Xe9RGFYuo4w6 ztDVxNwTvXkE%d2bL^upFBVH zIct^rYy%l~wAme=;;K@wZBXF;@Ru?tRMQ72hX}4!&aLK@^tLiFA1Mx5N>qQA7pmP{ z7+fQ32tDh;Iw+SgCX?7uz|U~fK?}(KF%?c<_{KYM4%pF!19A?9GN4+#{mPPn9h}k$ zW>ro};sUCpmQ7u@bJ>+;;_A!gj~o_P<0+=S-$wy2)t6l}4edTMEluVQfv&Oi1^fWXVRMdFgO znpMwD^~t;#?Gt#BY$vLp%YZJ)fx_*Bw#pN#=fs#gR8KigU)kd3`(l~wG$kPwmTrJtJDj_?rl(5b**T$XLVo8CP zsKBf5F2Cv{z97X*YN`_Xb#e7iWs$&llYLi-xSET*x>linx6%orbxG2%P2l8c4nhv{ zQ+{~aNrFS28!aIQ4++3(=Rucv#-M3uQkIh)@ zBCc47`(2YH>0&TZu+N;lEw&HG&(6V7iISH}x^2ks3-)_ZV3Thjc7 zd0Ki1zbkk~vqBjHtemDLwsd9W-k^2}x|$Z+xE+zm<~2IEob-(R9<6C;GfC|EdWbTp zBbG=yf>6DqZS?hWl9ctjjbItX6u=YpC1_4}n!dE5z}q2;nBFJFr#WgT*D(=5x7ozi zKb8jqY@}H(lyMDpl@m?!JLso|q@c@~i2LuzlQp}Nd@f0J9MnrzX7)GBIkrk+G7Rs; zQ0-#!lFvJ_I3ntK^t;8xhZvq2vt7N-JS-g#m85J^V^gv86?J4|aa(T6;^LtdQHMi1 zT>UNIaH=Q3$gir9r1WT7q{}GFQ3l~e>Ep(YA&IJfttm%!g@y-;ywe`yt@%HqF|ET6^7XiQ*&ff8jEh+j1 z(3VkI9NH*RiJ)(5So#*2nYed*T=S)hp?TE#sO~hXgB?WmaVBC1&d_U8876CI2<3R+ zDT=QKAfkSE)&hNw^2V$Kda*(^yIntfwod1Q!sJRJ$yJp`I{_Mm@ph6^9KQ-FPAj9a zqE?m0mF4RE0N%ykIsxz-s%))BsMO_7zxYLnDd~vh-Ys3AzfpQXubKtOvs?y~(iMm1 z)KBM&q(6h5K%{2+m)1G*YProFsy^DGLS|E(zVSsZnMF!8u^go&C8I1+7tq8S;aNv- ztx9NwPdeO<@V6F5!IO?yz+$?^XFFz{hyoQwaXtvI!9b9HA_W!E?aBCNL(Y9sw@}^G z64nPKDe@*cB4WZ>EJxI8M-CKk7vEjKG)Nl-Vuw5B@%}A2cVa{Qv=IW;f}En3!SP&+ zPrUQCG~NydUQRB`VQr49Bss^>&Yo6CWUs;OgAv*xC(k(pH*2FmD>Gy4oy@fC>ps*93K>h~K$A&d0U;`V#4|c@^3U3bN!*MR@{@;RUt=ZcFf&5n% zx@$FgSD-fMkD1Wq&#Y&+84<4ifY925poz{*?uAxoed|=&KShX zLoB_8{_+BOP7%nrnb+3(YnamA0AB5q;Q^B@rw_h&U4b|Rq%XV(Wn8J9IiA7DKipI1 z?oI{beS>&rGd=qvc~!~e58RkBeHGq#A30dO6^OUXOey?0v|KppIJ^4QE?2Q=n z9ZC4A5Km*KiEGF~Ww-}k0CZw=NySa+LRrO*zKD0y830WY^zIsRogHF*w-(g{+qsrZ zX7=QDL~URj`5d&H%=#d8s5XW@ac{05;o>4Jd01h%ftj7mU#Ogw)C6kNNg{d~x{#pmzmtyfQ<`^mV7-)=yi>B>x1KI1oA~SdvXn(Ka$XjCVSNd^0NRU^=d@v} zV?Ltv6Hw^|ROCv(z2UsxAs6b)Zyy$HT$?HCfp`FelwE}oiSliM6#gFHTY^vQ6bY$c z7kqXm-!oz7=s;t;KB>&GL*r)*+7f`*&#A_LqrNPFA8TVs1Z)iTpmEa?s@gPKq&x(C zfdKw7x?Fa!q*xR3PttMq<{+~wNkp!^0Bu5B|J9qzxZBHYw^*%LBHDA#JiCsCFPzUOdM8Y9r| zl%1u68|m6ifwT|Aa9 zU`v~6pktvYsT>Fo_2W4-VP<3P-=(%J;ZU!94U{0>sLoOzTZL;6fc)JvMM6;Gts$? z_F)xxVpYPfZ`j2+duf7QlCwK4CVCc+fJu z&y+7!L+;jBhG9-=|5xD$MeU}$wtpeFj{nij%T(O$D{O-{K*kQnRNTD}-%oTX0 z96tzfoVK@-zWL$wh7<;12a=ra1VG{Y=pg_)+mTcukK<>~FF;daB{K|F&*t2&W+3chC_0wG2ADGjVd z+iXivqB3mE7Ym5J3DGuJMyC3A6NHkLi-fQ(LfNZq3&t!cTs*(sB(c}dpN~|Wy<>h^ zVY>n1BN+?y2rxfP7=bPmk@@gWRK~$K=pj^2TZwY2kWHzO%@|ucrbG6o#3Vrc+Exx< zGJIp;J8P?fPX(V2zK?7?d@*JN*gD}o{tuZCM0PIWWg$k&e(;$EpSM<5A@kuv<|-j` zxoz=+p@6l;R=Z#b?2WdL1(~qd{{!qEfY!{Q8H6Si4e#d<0iOuq$pY|uRz~Wdt?6a{ z(5Xb!2>?0Uma#A%_DtL2g{%uqv!R0sH9FaoS++;dvHH?byo#|Djhd4!JZ_aS@}+_zv9 z3GPYhcewrI4x?BzJ1JNL-;{?6`Ll%>lm~@Ut`uYlKGgdm@P{o#xkG?HQGlLnr8-*Jy`tSzZr!HaEYQo~R;&Lo z;1>Z((g}Dqd=5eNqPOPPSlUf}?Q6y`38-C_?ArDtHP?$(liZ5;E`V;1RimzgJOYTF78=-viBl9mKDpLmVvYz)8uw%?$5)%M{?b z_J8p7zcc}I^ZtC1C+i#`Yd=qiEE4{W@cbJp{IdyEdl)U&b7nZUc}B-{_#P2_0yPbI z9rGtMQ4SUAX_duI#wYM7&0rw(re%B zlnIAQ)C!gOwvgSc=25yrp^J6>2WUf(elkn%9{&czTl@ex4qE1ja)G!oDFWzkj&FDFH3X?rm@i_~Hbgn;sm00I+)ru#3zFMw%h!1YLqK zFzC%J*~8$10e}bqszKgB_^dV-i)|CJvtwJIe2%YbWX-P4HTfp#_10~-+RtW;qTcqx zmO;-xP@ONpjpfiN#TGc;fMJV|6R@3lbL|t@pTV$o{g1Fu0}!WTtlxWTL?4`}Y&8wV zfo@?#8(zKs5ZQj%LV!16@vA#G2X@xRkvC_9Akkml=-)`G4wbT-v z9#EUDI#Jbzf9%hGq;GJsZzbrm4;*XzzKvhoyS7^3siAA|3Z9LXXLvNgwE&;ZN*Nmnka$zcg|m1#%tW!H90S; zNusJE&{O=;o$)^b6ygaj>Kzyq+E4H87 zwgr=F~ZoX0tTZ(-X{wq$RFxemj&q9b9ZV9fj9HTZJ~ zV@d>GfLRN(9_GRADm#+!Y*!760Cd8|))6bS3^Ve~zI8vvo*p|moey@qcaRQ^4 z+*80n)B{Omgy6O{#JCGBax!05ti|KEI%G;!1tZkb9L{(wGAp*##CyVG9tgYb_^^WZ zdxIo`2u+Um7J}Xq>dEJ<kB8gB)Z)=$h$gC05$#0S_>QqA=2HNS>>~;y@0rx|3II!5HV)WAcnZ(yBoqO#P0GBv1<|Tttj7- z5A@PK_yGW-kcJ|irL21iw>c{|@Bt!j#s7u>0C1h1w!KA^;mZUx25TNfVv$|wd{M2Y zQl$10$`a!p87AZZE|5WMKc-iXo|9Qw=fqPMN#$J9gr+vrXnTV`ws6jn*fH3i;c?h1 zJOj$6<8K-GFJyyGLeI6zlW9qwlk| z&3)M3`Avl_sp0OlgNzB;aQBgePE(G3!p-ArZBX1A+{B*yY{TQ+mbFnpKxL0AY*>qB znY}xFAKI?BxKj^17>?px7iL%Q96XC)C)icft?(9f3bNAaYP)EH;kzvOLTddBIbLUL z&5y6-!Q@V zmr8by|MWOxSYyJ39hl!+5+royJ7jQp;IU%R*M%T47-~amMT$1l?UVH2J0#UWZClJ= z$}gBtbAHO(*j-*Q*Kk|RC*{ny4q^{bK8ahJD~*it5PGn{#PNCK7(nCe2j~VAb+1rX zyjZg-Z%UK00#CD`mRK`txAtWpGe=^O}2@et#rg5q7*e+>8w2@ zQG4Kc<|#&YmZk{yF-&~nSo{eU3pIEQnJl!NJ(esWRAG^;hT>L_m2&}9Qm;n^S8`%h zX@r%219<)y%;h5HcUh?DJ(3urSj2q4SX7Jf)USSv`LXmEn)M!u_Mc+Lqu=OdG8-*< zkAxO6MdZ&Zk-Xp5oM?TTB({{}AF*@%U>INF^o-++Pr3`Y7zJwW1%V#eyI?YL)mAwx z2v=~NBexoYHA5JlV}mbeC#y-eUdsX z!%?O_WEOE@g=CxX+_5?YSC60JS@OzRTwFTTuv`HTxT=KR09QVF>D#%gKy9Ev1Tbx_ zf1f1zn1D@PB0c^-$r1h6PVMiLNW%wsPfyH?*U~-vxLo}!%l%Vw_*In?3&m*73!6#2 zuEM|K)rxZ!l5()=luGxGfj%>nB~CrMCY+DwYSfg7ml4*&|!Zl<3m9lq{@ zFQk^em-ouwcJ9)BiHH*}#9;`;!x!vH!4O#DTA+{9T&ciWjd=6U3eo1N6=ZXCMJz6~ zKUG;x|FZXhV0)JozsAp0XH}8fNKH&*IKHe&?XvSNU#UX0VS;Z5gc+kNrup8!fcDNy zZ9lhs2fE8Otu2>T{@P&8t(O0)WZEv8pIRoN;eLm9@L+FhNk9M(BTK@Fit5q8EQVq1 zy&G9|!8G-v@9aZwc;87s+Xs##8~CyJktv3cKkQjA!b2l^G^gumsFkJ6wF9FCQ|33$ z^S;eZK{*izU4f84V4b(O`!Z}dvI<64ldrOj0`{g9h4LMa6bxg#|Ka2#5#iPDgDbW( zSHZhQ@Va-RE>jO@&oNwFIZ(M}l|j#&M12H`sDspg(D^09<1N8>M)jI`PUZPl**v@* z#+`5V8R^Hb#=qgN^Q{tc2`>xCaDS{+qi7^W9i&0SpBI2)^fj|@4hj>1!n|W}xUcBA zMpmN_K1jt%*cGK?rDUS`Q}Os?7pS+!t8k~H@f4pgduph`KaMem8%_npNnt;Wsf$(m zn@AT3`w;AvF!K6tMcq)yo`0i-fxTYXY6=%h&uGDB#o&i%u|wcG4;3=dA%p)#be)hv zn$UnJT}&-X1%!6tT*tdqASvt-cMeaS-ZHHaV5@}JsnDMcrX@lE-r&YKfAVkm^~I~{ zt)~A7Cl%4PeeqU$tLb#7kBM?>{~@b6I1RXE8Y4i)RIi)^y4}JHDeUM;g==GZ4x`$R z59O!)8M#=AZY9y7hlsLZg;k+uYOpYA^IwCF{xnKwLcdC{m#Wv)a2uzC*Q1ZnY3EuO z^a!z#;`mxcsuf(mQRSwVB=ie4_yP`L-!jtb%b&KhkTgqR61gNvRbGzLJgExTVj@3i zH4U^f9p%1wVxzLQH>AA7@Zxl+hTF146@(a8Qv!Gn6!Lx#P+zW+ zY6AouLJ)_+xNrRxQ|~e0+5@;|!b}F2x{L`KEQ4JQa|T9U|7wj~Bid^->0Ol#!9}9I zaga^l<67GQ_T==Xwc!JBlZg?>9~s@Y{NBQCOn{uWOvvg-3rqKss|%!9&LX3Yl@OIk zlgPe+`zILRdLO(YjBT1m27&cRhk;4dN0uY;#y;TfVK4u>^~!gLJpW_QL*jQIr9A7^E3)0Tl% zB#}w9)##G&hPO|8P{#mSaF)33#!^+)!6FG1GjA~mcE#hIM6A4p*Y4oT28eBK0qK2Jcu=Ig!7R#I9y_b2VS{;4dMJO&ns^|lKw^IUvG2{Kyi~PC zyPb^%Ymy)-I>-X1N0+}cSG@%!dk<=;8Piso>J{xKoPt?RiTJ zA5({~Uu))apxn^Le0r!oEVP{kkZX?OyLscmp{A(NRcNA0c9h_)1;sA)B(qWx3ueft zv@=S^g2yaTorjH9RLNqk-=@&YC;9Tcz|DcxQ+ot%=2Fs{7U-h%o5eXDA6EgAa%xGL zRyw;dq(V;vYRF)Np=rNLtDP#equu7wD6G_ZG!IM(B6-a3I)la~ptXESPpMEFZc_7>L}3At59S>o^W(!p`JT?q zhT^+xyDd%8W}`{ZGv6}3=iuT~m3`>C8WLyN<&48?R7Uf2-M%%KgP*Fhe>eRrIU%Ke zG&y&MghXhqrW~_xb%=I-3Iv)}AypE7xH(kkvy-(Hg*rIP2o+Bu>Od{~)5R=pd31Ty z^1L>plx*%6O_GQGAgLx>IHOcsqlyyB)7`uj-IR^!Y;=FtfL5E2R?8%!*{bv@xc`k_ z@jB}fnIz{l>?!y+g%jmS;D=mlP7VGaT!$B_syM~h_&+oi$2~B!V4i_V0?a!Izf$o! zUZZ)vuAog6FBx_MQZT#gR0R-knEBWbB<`Ad?K_;pa0P47DE&_JbNz_Wl3BEM+8zbW zUW?u$smAm*k25x^EO?;-p^AV5t2Hm6{(|h%jhSeypMZ0)3T0#OJ^Qo-RIe=DUSKnQ zWM}`*sh-h3tC4QEKxWN+dyaBRzI0=q&2(p(CI}0GMRsC{3)*YJ;BGNxTcy=#!{fWF z7Z!%{m+)GbwE6&$co@NGNl0m#_L}+Z+aY?R`ttJ1-rDBNs_ELf0s;$N$C0AC&Q{Cp zDJTZBXKL`!N-LT71I8e{ddcFT5aTryPzb{T8^iOuYP5E&07B>5b334VdqHABi>eT9 zkR@b;js?f9SIwL};DAys%P2t7SA~>qsu9{eA!Q%eTBIByls@0S>h?ABFQ<_er^HM1 zGE!0?HHKmx!!nmvhZgLviv+)3r2$Ls{X(ZKv7kfcOI{B&4#ay?(PG2BzW=hTdTIL$ zz&Tg1+ZpH_{VhO9&(WMa5g`g;7P6S`IRAg$VyZduK>q?RF;puyKfW+d175wQ+!iL< zX}I9*iN0bpx$MPvKSe*gf_HA?@aX&^lsFc90&iG^CoJTFupn3Q?Pt;0qEjTYnsuCl zHC!FsWiV@CSOeVxyAGxehBe-vXrOPw9D=!*Dly2y0f4n=*7_MwQ`gZF^=JAreU4s+ zH`(0JRLS^X9KH3vV6BqzH*gW+hb2IUH5ZyaT1{!V*7Jqk+nT{1n#`5Lt%7u15-xyF z;rjCfm?JRnz+i^Kr2nO$AzaL-ALkF0a8N2ELEy(ipr}yH|AvyU{^tcX9^z`{wPh(C`wb`}TPUkDfsrD_PN@GGq1qLLDkBB6xvPg!@BWS+WtfWG-} zF!ju|iZ?O=vft>re-l-on@Gw)^@L2i$cX()5#|Fi9&8awkX>9X)*n2$$uZ3`RA^K^ ziFwrS{0XHW?zmpUuEo9wx|unI?}d^wrEd&cAK2S5R+#EzK&vj`h=l5BXwPhPDGrB# zoeh4Yj6de$YP%MZEnSOx;{h-kf1JKnPogtFcSY9f)q6@94>Z9ec}&%cN_`n))q(wq zd6Oo+n9H&VQH!;H`ptSy?=pRaNf*fdTn|Q1*kg{6@Gd3D{=bOFgsQI}phJ(4WPj#o z;qX6kged(LI1$jpAKHiRJVF$6@_|F!lV@KIG++^hGK1@67Gz-E!`|=eBdYmv1#jo{Anm!#2;B4c{#I zK4I-vIs-T91UU?@+17=K5juR6?Q<<%2l#zpeY%wH+6l7D%hbGYRZ)E;P^Iw`DapYm zJUq}d!?q0bo&fOyo1p(}3v9jdo?(S}6}IjkrjtSJhrL<>`daj_udV5aTYiGPPnzdo zPWoitV*l zx`@|a(crq)Asz3P`q$zK)3?(2T1ZTwqgpzqrBBf(=*q9Uy>kiOZ+gK?BHP*-b5C{} ze!=!55@SZs=y#%{r9(N>qvwfKd|(oMeOR|$Y)-Z?kjKbmtsSC2b5*JHCKX;)Uh}e@ zezaXcoa^A84anl=W}y}>Ahxw+iSafeN&XR%#sv9Ys1CfUyzh-gqH^yKwwS`}=-WQ2 z)lRlUF6C`J)(uo8ivklm$*|dh5smsGU0eD$?390cyr{Q9c@cPZ((^9Jxy@49PWCA~ z$vCZs3Qz6}Y;xgF?Zfr$OCVVyDzO-6IS>KBMYXdCb*ZrFqT-8$x@0lFgn2c)eH;Xa z?)P0)+G-1?r^Wj#t;-LFDgu*ngy`8dT3LH zU<%B@pR~QBx zrj8P115DcuAfd6U*`&wIJTuU4bn=#be899P5p_vN`;d#Yd^g*FI@KP@Nfzj1Fef3? z6o<>8_xn0=Rzn{PlNL8YfMiOe*vm3fwvYB_br$9gL7w5l>n{+gPZ{USgd|3UnFM)4 zfGVy??e?(k+YWFJ5&e-K^0gm9kvI5!%5rJs9yZm!)4i{jT2;WttWc}J$qenRb+!bo z?`il5cZOR;=82a;ak4j(?LHr$e9jkjgNeH!Xj{Nu&n)eLKf zP2EE-H=g%8(VwZ4kAE_PI;s$ahxf+$SL<0y5RsJmXE z)8Ax;w1SCh8Z#NbL)FpvXK*J+fO<&s8KmNcPgOwye_dcEs^;p6s66t|(qdkBQp`SD z%+6|O*gL9tY$Z-mGe9UwxI#uF4%o+ax-^lp)jHtS&PkC?Dqn^EEyp?qNNy2u8C=>} z$4*P3$^H_m;trrA>_z|yXNUlV15$QMN&DCZ_MpIq6!=X;wIv3^DITpn#iw5Yx#Qgi z-k1w`2hk7Hi~v22COOMR91Ky!GjMeV%Rs~Qd{=o!c3ru7nKp;a3Aj?{vXzA*huPYw zuFy(h)ZRD$TT$uA@3vPeF${%_2jDPnKxTs<5q8ul%>@N*b>g@l+GMrlhUSolS>IB3GFfB z&OZc5b;jKy(v# zeyDDKXzmBTL6HBXN`WQDCPjT2dL7Bzp*7LDo6`Yyfv)*kV3CvHF{I9uR}XeoLAZntXa34+h|yS2Dd|e zk5RtX4Dh`NoD|RE4ABJ08|Am1M)^^XBphbV(ZQaE3pd)`d6cRDVYZ9GUt`jo!)%f; ztU1|clpn&=*6J*&LmGlKFK{-t3RWh?vrjD#?izh(9MeG z@uXr_p$6&zTv3>5yPm*f4TOY%b<7dl4^I|*fY~(4tj|`H08Y$^IXWJ^8u<;tx5%dl zc4%5#y5g}aHULn|VZQrnts%y086Mo{bMo5VUB%OoN~5lTI*$(8N`E!R*I-d;;HZRq z6`*pb^zPr;K2PRon_fOe3@C8&4=HEs=p_eNt}+9k<}I zjPfau2~}m_yG_2MY&GOJHp0<(!ufBsG{7sozkO6 z*sSnM_eSlhE>1poO6!lX9mAh(>2vf5JIEd^!2MCtKLVQ3cCC?BR=%~Dm;_cfK#im9 z=1AU^$tVx@Ka+6Z!xz$9H{qG}^_Wpw%Xa5?TvDM8}1MJ|6 zA>rrPs(;{B`x)Cr%Nc8vvdTN5ut}NfJ+2BHIgFEY++&gbPRM>O)X6PJ%{>7oG4v)= z4ArGYaUj6Y+!(mz!sfCXzUr(ExU$%)GqwT^+YIk8VCw?`OWc}~*BX{^(yK?=_8EQL z*#V?0fOHv>_XO`c9``<(TxwFIJP+CYL$h@HC}f-(+;}U23`}r`Rxa0iRI>}`3h){3 z4y&Xw)d&H}4XOa20>lkBg;)A}=Rk<4)I&sNL}li8hAMq##&`Pl`YvhTVfcjBmfTta z-y?gn%RD3fLZSFkQ?B?6*a4ac@A0x-L7y}!1{qqqbsS`BsX0^d4jU2;F;yAmb<*~K zvisXw>B;vy$)$cW9F4VvUJLx|F*e?i>yDCj&C;jG*rYZoDCRiNvI0t*2v%u9AvS#7 z(glR2Sm8FxkGQPX72-zJGeb-KO2l_X$%2QvvSdEawi!_1iu||;Cz<}%`Pprv*2!Nl zjxHW878i_}V-iDNGeV`@q?(ZRqrrn1p^B-e7y?Xxlja|1+uGZK&ohdx;Bf>GpL6BZ z<;I&#;Xq5Ch?oCbv9`DiYx1;Fr4~smfZ`oDQzKG08%-nJTDN&yPcahYa@Ps7G72^s zwx~)IY}a8kVPR&JuQ*#(8sPJ1_#hh?|IWL6#);P1grou74EnIsYBjE-Casq`e2uNi zI%gC_jT+@WZiBc|Ehqo45D?0Wt+*8{B#_~v=24h?>PJHyub zr{TrU@O7Lv+%IxQtkY?>c}{)R3QY>jf0tKTHemf{lzF%G%hzm@eY}%frx%H=jq*rj zg~|o>neZdhGs;5)e*M6~=tV5O;5Q)P*F$}wh{)z=oaW`qHOrS{D;z_Bi>av?K3W@& z6ERikE2Ar^pSA(N5`*rcgWaU|6k{uwiIeO|5UMiiBXI%TP}#aN`r|Qbx*0OkL?cL| z%2ilvTu%?BG1}v!xzPQ3*9S)6Azk+$!JkCQ-{CydPs8s!_3I3X_g}D|(UsH!SMl)} z&Gv(8SQo3=zE|<3kdta`1-|vkSk>Oy%3yJClpl9(tR06g-nGvl&%`}Mc2P|QRg6ZfIe@KxA^?S!slufmgWX=h$R;bRHOWXhHEqamjz<3 z!Guq}X}I&GYO-T=AQ~l)9=&xNh21cpc`?KKo!m9Z_rS zPU+bbY)U4WP_3|3sS(;tZ@^658Jr0bhYmhjXhqc19?Qnn~f_3C1+F9zv#V)k8XbV~`JeY3s)z*MUcaY!ql^SO%^dT8+IlcNn1QWiYXb9%tLof>ns#ODaNjg^6B1?ns{QPM* zO9L`hcjwxt2Jo!QwNe%UD`8s;`7ZR~9AKwXW&F#niS_O2lkAu_RMBDoNgWVe2>KU>5^h&z=&oSnM4EGo zozR__lOTUV+#D?jX^SVWBz}asym$G&gnjuYxdX$UxB~eK(od(@M0U3ncAD)O9ZW`u z{i{f6#A()?wWT>qHmjRTK}ev5O>o7l7fbodEV$Og6|E-E!LSS08o0t#>Fv|(h-k_P z^%B~L3S`xLnti-Sx8_JJVm6gx3lJ&N;dSWnkNFBcLToFSKAOYK;m^M#+w&`c8*WL!|5`xYXv|^l~2@8Z=vZzGJP!g z9-1WMg<=2Z`BA9_lkow(qP*3ByWbRtm=O?MJNraoy2|Sg%zP|(bwX{imheCw3%?bi0zJ1Y8bBR#bNVrjT(v^j)kA7lKU^J z5$+*y4f7S1l-u@O6d*0hAef2H-W++`O`xYPCciA!F3>ytW+fHfDS$0hL zGAD1FHb-y1qAYbvN6xa(7UpU`IBc&YE_yc-?EnO;HxYg+Ap&dxTml>d5b~1%`j8m_ ziFYr4$1dm2s#54VTn0R6z>^cnx zFMJO_j?SPGCJmXCS2m3DQ-+&yUzG4Eud7{SPpW2JqtdbY&F|SHYoii_6Y) zC*9klBf8hs5}cUl;>5gB;Srvzg_pDl&xtvyX7Ln$+XGu~N}tm^m_FHVE~T)`9_jh- zp=hE}`Hx3h|2^9kHb_J|>bHI9kz9V;D;}xKdA18e%RSPh^XRLM%1n>6@;ocTHqawo zI1k-9jprflD$XOtUBDU6ZMQV@0{ak-^jCYEm3r^YuXLJtO{hNu&8*x_BQNbPw%H}^ zyuc=KhjuznUtpi-9;NCCHc887x&VI95q?9{EaL$nANr=*^dvGF0GY$Ybo~k)~Y% zMWuM8rB~QQQ%5ADBG9JcWhLArZMg!vJG4_edW9WAW+Wa1v>{p*5K~(rf)z4D&X2H5 zDsEzDajV=?brU+xQnz%Z33t(`*0oiiEf&{xuKH$R%l)!)$+yWy*OxA1hn)7bTkdt! z?WK##y5L10++MnhEC3^NZiTWoeBigrtME#SK;QHpCi_%Q{ zRhrff88#gzWv&yc>0_i;sGVZ%{^E)n3aRih5`~1re~{<(p~y`t0`xd=#R<2sr;Tot zjv~nzboiBfNjbWcsxMe|%X{EvZ>_I$Mx8I2wZxav;QHw<>F|$iN_GyK{7C>UJ@OM< z*TtcG=`3rPB-U||Em*OH;=YbBx(5N(%9gOAN%-!fX32bw?HEeF3{yA+gW8B|Y%lv% z*J*WBuQ%0ckRI_yF5Q?NWxk}0ay2%Q!j2ROWAqIc>f$la(;@I>r4E#}py(8A)jESw>VnuYhJyw=r!#A#g zeGkMZ_Bt_IOe)mN$8pZK41U|NPX1QBXoI3zFphdT0yrRD#ySdiBi0@^>@ewN7q+qW zxF(BxlbWJ+ov4qL-vqk1PlREEN-uvd)m>-fr}-<(ygyf~G;-Vt`*Sl;u(JA1Y(Lh_!%TUahT^{bhO4g?lEIr?91BK$-f9#D44L z`A(|Ca@T0Ye$p9-I$WUHpMXy5EnvrhtBf#BcP8V18lEDe0wyC1r~nzl?lU%=2+_(P zgY3Kmv5MGgdxc5g(?s<2B?cr0x;X(_MH~uL!akV0wMvllNP1}Aw!XtJD_+kV4-6=D zO%WS`=njuKDFhcH;ZErakMWvE3?v7+m3NvuqhnNim=qJ12A37g?dAVv#R*t&h-K~c zvV>J7wQCa?>n+#yDD%tk$%4;o*!efZd{JBM>gBK115Hul!6swjUchef04+P%ik>=Q zd3P!LCkOx=2HY9Iogcux#;FHx9dP##;2PwjlLxd2-1Lnl5cn%EixLksafMER(zKi= zBIeC}hAG=`+8%=Q$S<87<5PP0tX4yb-~J)&mz19ZLZ(S6w|3Ds-aH2ux+4FG#t7s@ zW8UU2DzD=P_)n1gcu`sDkrIDq9ru%$j1oX@c^?#W~p*Bg^tnSW61-b+e1 zl}}EFeX*BMHp6@d64I8;=g_AkG1^>GUiC>!erB^!?E}CcFgu0v3aizC4Vm&9dm)Ul+ zM!HNjrkt*b8Zmr3bbHXjUXwQr5v&x_heE)eYRYM77@<2_bPml_yp&%JyA9PrdWWGc zfaDojB8KAvbMA(kNjRZ{USS_7*ClO`S#uKApcOy|=GoiBE#X?sm58aA2fCy)GOk4s z=~ioTB*RTMrF)>(!P9cc*Hzpl*r@6wi>qxM0O3vYH8DLwv#=r&S+sfwp;uGy6Dw=l?Kgi zivKHiqxsshVc?^NomZb)zOve;E_$)&Qc3H3Jq}dMYy7AO zXe(^J+!?EAxqiui zD8e~emJg4GknhToBL^&IGJDk*qNXoN9qzI{dVJ%{0BsB`(#Z#L>N4iU#s!+7w?Fw5 zi_7rKp_o+bXH5f&2L#Yqq(9zed&czg_HQD5v<1+kp4#o8wEr#yMt>pwc$aOjzva@& zZ%bjn;ARdDOGMq8Y%!Qm0ZIT@(I~3`D`BVJMSYbTX)R!>=H8eaY&_~A)I+HU>MRMX zrd0U<{dz*mh6Q^MeM{Y*j%E(4g|rupksYPYzp#Ie4rYQrM96Hrq#+7BM|Veo^xbNO zeJW{~)1@45ZeJX;Ds>w~KW~kx;CEr(%8kcU`Mb4Je-(`NzueLkm<6|iVEEZ(E8J+u0xzbq#L|7fow8X}XgQv9HAqmYlQ%tHH*|cb312 zmH2)h=Vwe*HuSNB+sQ)n?!h?-BWJ95rLZlrnjqEZkk!0g zx1PF)Zdu5B{yG=-?}SJ4-*CI{jxUJmmV5i-itF8&+wWJ{Vc$~mvG})}Uj1lsY2hWi za@*Tkd=B~l*=t<41ZHcWVclYwt!O_lGxzp)6{H&eDb&ESJe|DTZN#p(VXaR7%rzfm z`z8FBj@Cix3r*@eX!N2pcbz=WJqMxhyI0`WSShew!$GSJ<`wUZ#L^RwgKyM9O*{lS z7-9LdYcl@xaV?7n#|O82IuZ}?REUovNjq#IJ_7R}-t+3p8h+hW*bjR1#3?ZAyj2gd zC?u6=Jp6So@}G(!bu3)X&M~B+3X6%*WEOYiUu@Q259LP`Pc^NP&bhE1SBU+2ojeH4 znGQ!lRM{_AWFg_HW`BkIl$>4H)223!lw(83i&L6D7AG}Dt)r}VrORP3Gu)Bupmha@ zI&`y4hbuKyq!VtwvKR2w;Mg?-=5!RHx|&~?4*O&jAr0nuZxxR2f3_jxYYKLv!Y8yS-3H#^$T8Ynwfa##7j-o*QsAqS8I<+pb#($Fdi@sKqs5? zqF3~P4E9pM@niOqL9}@~4-Pxv1;Aeb!IccnEdD`4&U+R9)bqkL@?$cGo^7?(r<8c@ z#jib32uz8|&lQHfc1d~I8MdCns4>b>kRHxO4-{mFCuHDV*JEL8^i@n|?-Swch~fu% z;838@&U_J&xnSrvq#$K!F%KBmUTnpznxy#z9}M* zHTmqc;zz&=`;<3a*P7U>sahlF<0MEY8_>uX>L(UYD*CA@rb@Sd&5(*)`zp9DxwrS= zVcsR>8_(Dg<1FI}ztomaNn*k9OUe;XmUsyQ-}{Lp5o2NV1I)2sAl3i`>`()|adJPn zYBX1n@CJBt;rE_~Xp@IuHwdng4-X?l7&Jpp|ILD6YMBX^X2?n#&qNbhyd=Myb-9y)=z0NKYy<6XqyJE@<0 z6%|((ylk7Xi8wqoylK>$U&SGc@y+7m7+dStXqaO%^=G}xpPE~*55c4uWA*RHvsH8< zQHRTnw3B`JGl3eO-E?=uSYZH@>HFn|z zf9Uopt)$^TcA@=ASWl^OsXDD=)is&Wg{V8VoWZDTF-&-VKa}>9k3Sx?eqH?Fz|Gg^W<<%6Gjnmuq9kn4``>ZKSz~@zodW zErucn;XZW8}{&?iD?=bptAC@u9nkqfI?K z7u2|&&QQp?pH+BXDfG?K1`Oh=i^Q4m8SI;``OtaU=g_;eWZ|K^_QX-9tc-$c_ifn) z(cKfZ2=~#4VLTd*ihb5QS_`4kXe8Woy~8zkuyV1X0B&K5T7VlR3ARGWZYad5508T) z|8*cI8;!PGoyyZNM^0q-Ax>C>ic3%+nOKR zkh8`NW_?&!bza?AnO}2TnE-x}NoCEn!E#5LsIHUj zIC(;xfa4jQ{Z#X*-ep941}Q&M~!iHY2v1)H1VA#y;d93?os}HP|9w*$^Qy)HOyJ* ze%6a73??U0WSEM&2TAFL>XpTKiNT&%vp`5$AS4Ealo%kSI!t>+NSoF2TJ|0x?YKus zn^dABokm9s19W63LV_w%^%w~04c9yn(kf?5Li+fBOGuA_Y}$KgYNhiNk`+F&-bXbb zBBW0Ogw&Fb@>~-r+}>h#CPEs8>a;YE)RGb*jetA9*`m3Fgmes#S$@FYK|T(p6Ko;~ zNyGrCgTNDBUIonvJV+=Pmk3*sP=JDxRnWi#6g2Qb5-NqPQX-)U^*#x4_eiL__dW^T zMg}wzYHerMJOm=*Nv3S84nU z@_4jxsP_IfwZ={>@;30`G!I&lyOfgOi1;$&Y3vWmL=E{^Y*&ZCH7f8aGdhk_IitNU z(SZc>@cy;sqWdWb6~ryB8b}$1%46(Jw8w3)N3Pu)ewtltsm~$YwU0I}ZmJLJH6Q(`PJ}hY|v&lZ?lbsAhaZ<}u zYgGkX|4U=JhcY7N7}b|z#IwwVGSePujdu zOV!5WQf#dFl+UI4t+}>sDYy(lkqBH>9To_bq<30#XgnXN7FJh#8ccXp{Jt+8$W@H7ch9hfO^&YBxfO3xy8A zQ+XDu>HHEa?@yd@4PbaS0cx*+HhK}*?mCIVXPc;8Q@V5s8zSROOHT^9E ziZN3#q`x~3H(ykx!1pkC!Lx=Qri@>3a}rFa{aH9j2ecLwEDX(j&N8}~aBYhsafl9o9_6b;vC%@ss+ z*2|){_IWe4(VyMIej4(bPnqOw*)&w2UwC^oWf5J0u!DpgyB9J&_Cf7C5!WG@X!~Im zprZ}d%oi2KB=Rg5WHuSYRqpM(74GLrF_9^A(FqF47Joz-l&f_wttY`qOy=zREKtp5 z7n4R8iX-I5OZO?nFTY!dt9_P zv1wh!swR|x@hJ*6WCq`P_6x0~cp>?p<^d&#YlyU3ez7Nnfqt)S4;(`Fgi4I>mCrSo zr6vm$Vh-%|W&y(p?}(=EMVW~4mOYT5OHpSy-_%?&HJzZ*R`}HkCLOPKpq34!FefL% zReaAyG=5SWr0!=c5_{FoIjw-%e<(}Ku;?$yF9Lo%Xv9u!GWd@0g*u0md%9JngO6=0 z!#gN!J^hJ#`wFZeh#aWpgCyY}DqgC7S`5)1qP1?0Iu`Y}*U?2^05HiUF6CRV4d)+= znrYM^lB(X$e4#^|o^pm~nPnqGZJi>9Nz)TJqx~yi#7$lo>tv(ipwIrFs<}*U%7CD^ zN|nbe*6k`i%VO%@#4_|P0ACza9&aqDqbL0_IBF%rWJpVQaR`oHW3c3`<7eDnj<8!` zAk8={5OVoKuX4Oj&_+=+xY}@}5w=6crVpBrBg*I9KFB3Nk0{9uk!~e$582~!NBm~f zTWVC+luSc|0Ey*32)tpT_Jv)8r&3i3Wu(ItOabbMhGjoOR^-trRS***H#jdn%UocGV}Rpsm5+6U1XjV&gc?CrfV z^h`BQJ=LqE7tnP275a#V?!&{f7EblClyc~*N;VL!M{;|(TpIsH5=e;TR%#5>eUgi4XsvxN8t8Xx={C~=i7g85FE#gLH4*q6 z2lXQKbeD9ph8B{oQ8~JsR98@QPOzhtmm#KuX1gHS(X7`1GE}!4KuGJMLO2$0q(|U- zX=Yi3+=%;c8*8meSp^ZP`DLN0E6SMEp5no>Fm$;7&dl-^HTVD?7pS>L6ylBD=Hv+Z zh_?%5ON>tk- zQHSjV3kQ}Q#AZubeJQfH_*ab(+E5A&(cfcGreVrbQ!4g>YmDZKsj*==I)seiXvx<@ zTgUum+pmW+yBV|7_e$SDTbnUEeXn#6ATXod8-Mf3#G(Ym@e7->)WjFHg)2UgqBRDz zzi3m^eM%v^rzAo;7Fn3xx;&#SwaF<+?G=SbK`ZX~2?ZX0C&q~t?}B-^*Q zZAi&3D-+#KtnY!>lo9gc<`;%s7nh5Jq{SV$cJ^0r)H1+!Rg6%1^K-U;*7O?wz}6)C zY#wgZoKt4{$lroX`(5Fhs<~oN1{IQl*;FXB#|s*-GRmtL%WLyQ;ywDiQ}NHb&w*`> z_0IsWn320rnzC%WY-_bAs*zHJnd@hdvV`7b^~FPlxEph_3SZ?-kKZ9|hM-ElyQc1%iQ6LeX0}5E4dK(9d7^Ea+eoQd z4APKb0SZ&L&%}FII;C!B{KF}>v(;Wd@!wo$+79zU$R-2zz2G#FvuDtnOvdB&6H7P| zs%`Ob-m47Lj+5T0fa=xKF(b#846u&1bQTk^H#w#do!**ShLw)1pKYYp_P-tmLX(S8 zpN-nYK($*4*V$$}MGG=gvz-M<`n5AdOh=x}vD=YHV+ylM6ImFC(#mRjS>gqMQ*}cbjhF^|`s0)LHqkPrbgW&+yFhsTiZbb@m3n51dR2T{XX`Z1VDW72RWGS_!FD z^|-r~C);gZ@gz9K)Tr#i8mjJ|f~Ff>{TGX(?*- zL%4~3qIOMyeIo2%!ag1La=;3}O8|L0(@7_0j>wdjrJ{E~;bFG*5uX-YGUjI72YBN4 z(CCD+4j_&Y^zfwNlN0sV^INwni~9OL-$eu5AJq_47MK8B7;3F`neN)0d%p%~ON)BU z&)WFSWd2Z3tKExA6S+y@1n6QhId55=Q6 zS^K0nJ8~)Zmg!8}lS+~bQ#2+krR+d?XpI*l=PoJ_yGPYFD(|UrsNz4U*o=o9@HU_g z(;haZbx|AiqD5W~TAZSbVql*OwNY3OsjUi|nxcwA;p0@v=g%s5SqNv%mj#}Pd8ry& z*qEt|RK3a!-$|`=Q2{#i)(NEMd@1bX0Nvou1B~e{%}nF6!@6T!VxlZlk}aq>1>fS zyA#(zxbBVLazT05E3NLtCHA_2F1WFYBWf$k}rVzw<(VHvwr^Vu*sEol? zKX~Iaowx}}NR|yV?+k`4Qn{3yto&a7Sp?M>7;{vL>F#QG~~i+ z*L7(Ze^UMhrLorWb{A}3al9L7u0%3c_uyfdbVC3%JbIKT>Bd(ZBWDN~KQQzCq-@+|nxjl!ZuS&R`4-sCv z$*Z&QRtE3vvALg&2U@siWZFYvbp4Y6TGEH@gPY7xdrKb-=f2jRQ&O6x@)2Ag*bL2% z>Ji*VU3AznPN)Ou0c3yapVG{+++J?D%aJmU>&1p%0G3&Oa71@ldUQOunuA8Y8{@eI zZi-V9CU7MQT~UHV=n9pHa|lodI08`nIOa~^BH7R^M4)VB?RUI5k$aanY{-ESqZ~)~ zsgUl<>H0cOPvb}_eU4+ybgm5>S#^dJrZ#Xw-){*V&sveD%^{>Y2{-~c2B-&I$a8d` z#eKs?{`GrK7=4ZtHfi99e1hxFwz-gp{2H!a&CKEwT)Z*%b` zCwv094Hy9UFQDnB<4QT_MKcX_Tv@^mVeRczPS||M55|D~rostl0e=Go!~SRH7l`vl zAl`E@Xa66hX@P5`(DQc^g#C_Ri$wp^OkMuP@yk+fy^um=bz~4G(!n}m1I#A?!a$wy zB+P~Idu*^{>kC{X7o87BDnJL=heWprIX+m$dHLv15c3^??IGM*gSlalMQ$TQomUVGZyhW4s=}mfP0w_H391(cpk}dEdQA6#V2GSGz)M6p5Fl) z0LK8A206a|jEmGI)+5C$fGWTiz#V`J_yQnRe1XzbZ{rRDp% zjM%q#(Kw0sNf;*&Y5V3mL#4g@F>>V$b)4Cc^NghGq4x( zdk`ZJcXFsB`tRH|K6;vnigBh4W(xW~ENp(E`*%Yfk^ki8=(&wWjwSU}|1XvB!rv`^ zh_Xmm{*CjPMMVz7N$wZUu)7FKUyB^vf4Dhp=zk|d3_9TMMCsWE?p?#afAhkqQ&Q5m z+?%?S&_(9h@hw-uCZ5DDYnIsgDIc`tX0&JIA}Ah%u6y4}`Pbfn+lKIbE!!hoCum<6!ycQpRY?PR%} z{f@V9a&!1d+W`{B=2JG~s=w`kqs?7zlrC21%ui(Mv-hMz0kOM26EfJA9&jvia)zkT zh7wQ!%66g1@n?a5mb3S(;Du9vd=N@kKIr~+`2RI*55kjXGL$?uN zb+Kb_JN^KlM2U$}&0 z$XfF(9s-IW1;3Dy7w^DCj$hxM(t+-Lqk+OBW;;Ia!58yw79%CYsDmlj8!ezLKzXqv zv^T$%)5=v{;yBQk=Y*i+=s^A`I)x4m9U$m6FZ%|heX z)WW~erIcc0qg*2fmoi(kORwee-8EX>{cq`T9>1QbX66w78p}Pk-!Y3@YIxS+1tmF=9BMpyM_Ucgz{hdvsjrE{AOb|0WxI=6A?Sik`$@%C>4DWdVZg zjkkh6>IHf?gX5H*l`fF`nT=>bU#>+!o`ju$8M2A11r zm0q60_p*=vkQWwjVubPY8DT!4@3V~X)_aVg|9}y0e#i(#A2Gtydl{kI5k}|-*q_b{ zt%kG0GY45=@;_Li?`Tf=<3>(sy_pl19pJFZ$keI3h23w9Wy5*`--UNPb{1`$^Ixxh zmDgu~3MRShfAZQ_dVP+;Q~6fL3D5q_3wTq&)WVF0`H$BafqjD!BHr;s?HWc%2FwS1 z2G|5x20&CM7oY>meM%3U?$%^891!-uiL9%>N)il+UB4|Dvon#XGBS{qC_ZFR!eZ5=6Z@yTHc1&^51y7kIh z*gvgXpIPSq`7;*GGA~+OHrt#go%oP%D~;d6Pmunwg^!9Ix?sV)1?Gjb7nx^1HSe(} z%?nGH%$Bxn;rlwi-@>0UutTNVFZgun)i3xClJ5)tO8>0>%+z0@Pg@uo5z0&*`rt=L zmd&0!t8{^Rw)TazS)tOUYJN<+8PCo}US=$K0-szo`{^?C&@6M>Eb|cfO6D5AyL9?P zK1zC|hL3hY8p?YtKG?c2uhc0B(jKSm##gSl`KSp6Hlr8BjB zmq;7zw18<1=yzC(-pQw@(0q9Z{$$32#iumcFmD9>O8Q7scJgy0Y01zR>52e(ho#dy z`So_|t$<(4`hpj;3Vsw8%pIYA9ey0(Vn-?GK@(PHOCW8~B)>2He)gb+{Fr_4r1}h+*zW|~!0R%I|3_*u zgS0-RB~LK^QiN9k=;P#f5`|mY0-p|XSHT@d?N3NvhhuGv2peGE2nc@QJ=nLj2>%rJ z>K5)VVc!7=#@`KlMho{o*bf1M1vmoxF@T=hpK^H;j)p)4iu4{RH;9mseuuCN0J0NY zg8eFh(h}T&T?Pbe;129+3%41y<$*hxK?XIV18D6}i3B*p0l^3+*kb{~DvX0Y5fCha z8TMd&N<+Io9RuMMht{gVqFNggi(%lW>A~S$*Jj_;rQv)3RkMTbl Kb)!!4!v6r0LzgoE delta 90374 zcmb?@XH=70w=P&w5fubfkRnn9LXoBrO6Y{5fP|)WRIq{46#~Rgl_;XI1cCyR&>|2B zRgf+n0RbV9(0dCcl)K{I`<(NAXMA^zJI4L-{$b`_Yp%KGd}e*ACLhA5ABq<|@B5IA zzvI1io}@N9zT)-yH^%}6#58}OKb z#}z#PY|Ibh((&HiXSGmx)+267op!e;tWfwua?(lR( z`xgyyks7F^Nr}1&Yg1a?BJPEzdx9;!dB}j{mZf5GXk(D(e24PqQHiSKBXHWl;^%cu z_chx&p%nA96?;IR4uY&n9etxEA#kJ#t~{kngw5W}A6sqSj~3m@zx%q0w)-`-=1vvy z{KnlBj~jVl^+{X0aPoWnCg(&VVZbL)>W(bhNupth$CQRezupPqyF)4T?N5Owev5oF zOW~ajQcP`N$Qq-6?G)n1tenG;v*|(|1OnttE1B@U8@+#*lt^5Ip=u_>45hu4IWdMJ zuIJw(uaeL9ynxIX@5X5TRzq9u>SS+4OYT0igKHn!YPXcm!yA~I)#i&vFF9rQWvm9i z8It;WDcth{aRlrA;DU7?Z0S0tCO-)G4!@Z*iJ=ZE#GgC$~acI68_7rZXz8O?%AHk3cuj0{brGLVfP^$`ja%KNjXf3oJFk> zOyu(?%?cbrCvZq}JhwU18*cuLj;`jA(5FfLQhCeQ&e`i9Y+b{!GE?vRI?>sjrI#kB zXG<2kTqBVf8Od$eEBLd?!jdZK@A{x?aiXhvRX5udZX4A|t2rdXe;zHjPsnOsnNB%F zp!s{zM(2yrnq3+bX+f9aQHusP%*HLBUb)uDcsoAAFaPT_eR@7!sms%t9wAn?iG-j$ znlGb5Q_2wf1DJh78gSlSD#YAl9T&>cANHx9^`NP)yrA=U74O;n9AoafX!@)~b6OK} zM+nFSfnR!TVNJb9g!SLS{Dl7`ZW1>02*f@6kFm1{qJ0NhIPp9TzwbsVyn4`-Mh#Y-Z z{k-cF)Wv3H1`jsf3pO3tM_fFhx`UmUc!ui&`+)>g!O1;FV_`V+H)zzM6J4OEwIh zH=%l%Y*#I+nST+KBo`WSV(NS24sZZsvQ|gti6wB`=NAnf#{D<2(n;;|gF>F@ zp`NFFG%2ZEZ8z=d87CqeA@BHMGU2!fQm*Hl??<3jADeWY3K!8Yl>5l~M6IFkS)(ZK z;%uXEIZ?!uh%kxzb!!K3A5}R}VwmZ&Dv=}INdL+>?P<`#)&)7tNl1FmA3BSH3uY{O zhH2U8#NEEeFsViAJA?#Vn$o^oV-(*!Xs$^1a*O0v;Vnx%`78+;0h#9)N#g6_98oe? z8--1^Nh~#v(<6vi2r~wb>9hf&R!&=7c!0PA(@))snm^?WB_1fF3+0*PcPOS7KM?yW za_1KXqhTT-cvhT(F(vk{xiB;5xRwl_-LkTJ{v)tL0-XRYmqQ>Zj_e0UnM+H8$$)__ z*D}2PuOp-fNV_VvoD>vBNY!b~U+;>(dGY$Y&b%?(;4do&;l}m?4PA9Hulu4k>~|BK z`W$Sgbu(9?vXWVJp=%`sNCpsLYb0cTxCWzjcVdJ`nx85?NQ4quSAeUwVuZ|MmR+xF z#zU04k|pXWj`seeZZ2(Mlf{p2L?m~W-BCo4kug-2tIch5ukFMVMkn>1Q`ZWxXZwns z?W;=TOFLbl`BydwV*BPN-Zt;785=e}e?6c0LX(K%eksD-UNIxD786cigUp{6!zAzJ z0y;21w&NT@R+4GkDU|YI&ac7JrCCl5Qf$sw#HDQLOLv!`*_$!_>V@#17>!=@MfxmA{ z`~GD6VLx=t!g|sym3|H$Pm>dVx`N;QGSjpCJM#=XGuB9(9XDK+G4bSH?ptZS^6-(- z2R2_eb$7?(hQb9C7b86;#*S7vj`5B$mlg%FW%|k*xDRXx^@p_U=tEt%+DAzN;wNxE zh7!XRpS(0Q$?0Bb(ZDC9oT$?C#*LbGNmKPNc6)%5<=F^q3Lk>zWXA07oxX5c*ezIe zdN75HWSsgIx*J70YeID~$!MGOdp^+}BxGY_uA`(MVyq3h6C#@QM*^`x2XMPIdb4Yf zA|g9Kn>+BA+Qm(Bxx!JTz}*7w3SNH8h4R3RGf&L>6?P#lJY9lve(ZfBvm1sdWtZVA z9K2|>eetcbax5)(J>Eg-Q2kmjk)IV($4_Z-INGN^FsH+`UWeCocBU1*xLE{%LI>c4v~Ps< zKGaD^-vJ-2|4@Lb!(pdwS$giH#GRGmHB7 z8&tG&z(`mRSvQFUs6?UO4Ug9#1Q*SVNMJZ07`lw0vrA&?*lg zT_6yV-iaBs@G*WQKWCxGYeu@zo!~L^(tW;s<#vS=T0o$KvvP9@;5;pVpJz$^2sqA{ z;J=R3T5%m5$N2B#X#UG_l>c9j1OI15z z=8J#74)BWs??%OBSU;et3+;?(`+Arz5T=4ZH;ub`@W?eQ&(27Ux@?_QUD4RsLUM{` zTJerSNpvh>MF;YwvHwdydyR^RwB-3QlzMuLt(J*4t~iF#f-QLuoU+K>{`6mLP_ZPt zRQF-Wp0t|?>GKjN?w|CGK7-s&$%840?jFc1cQe+XvKMj^A=ka9ofla;*HthvKkKTJ z_3#%?s-?~{%g!X=aM~V%V0Gf;-pCidX`;88yanOPs^#t0U(fdAn(aF1Z20dt`eJv} z1k{}nW)hz!7K1XGC8h>SWk-@sEcDVs*JsY>4tC#Vt zIa&*SJT*69$HcsY1trxf-rzw6Hgo!H`Bu?QMLanMuOgFJ8~NJqn$<{^`Sg$(nnSUd zHBp2v(ZB7`I$LwxW&^W?q|-;1#6cRAQYga zLBQ)90(CC~>g<4BHoVxI`l=+P6QNGd=n)An_|AK_U6QB~6abwWAN2~|<|KQl^qrSf zE;x5c>w<2je#i%;dCs@spa2#iXZW9-AwJXWa4tjAg{(ocVVRm)sXdcpnPU* zR3>ynH)Lm(N94t$h$8am9=Bj@RHx19q>E;Df0WGRyTE3K#pR_;?thd}*So(O5--<< z(UM#L&kR=mJA=so!J%B(zj3$@?Z_^r3!$?AGlN!^|Czzy3;)Jo6D0mBU~rW2-wY!E zU4%U9|5=1#|IQ!<+7SpM!}~yjCaE(1X5sCU=9=Ve$*Q6IR$r*nWKOLvLUB;a#!o$yQ0B?@)WtZyu7u_<&D?n^55x zh6WjTc+R5ed%EPldJoUvK5|t}V>wb@Cy(h~cV51lw*a9pJJuoddNbu6T~ljn#{)C# z3a9K@^o##O&yd$!D9V03FSl6t_5IcZ^zgo`fmH zU=-22^ad@`f81lVp_LdLCQZ_n_f|+&bN)^ zN-q^&LKt@<$R-3gH%enH+FK6wUhb*+?kEZ-_FT@J^qas&}rWqtZTQd7KPm#@zd(0+}K;* z!NtTU<6%&hPr)V zL7SQ67#JFEKESnuMsEJ-L+FTl_%;lrs7hA&5vg2;Br_SZ5&$yDyf*N@$Ro&n`{-M3 zRi~vO%h0f(5{5Ya>*zKjdEk?$L2%jx+V981r`V5Sa;Py!1kyKiD|+QBy}V@`f$Pfm z;-YE1?`>4c>BUFfQUzALEWTd^{1tU3Mb#T!k% zqNv5+3g6R=bSb3RmU+nXFOb0PcA&g9ouTc`eUaLUnOKP>vSpuPm37Q?K>qX-qqQFZ z74in2c1oK|i%5=p5}f>g0ImC@~O?2%hLNzy^0HC&q`vY{HJh|jZ=DXl~hp-*MpqWiMe~^<Cgwvpw83b*GkwRh&sr@p!*m4aMy+Rr=ZFw`r2 z&2hT3d8BN19cHx_dKr}^GF)31HU*Sq|6Zyz9S0>o0Z=T~PcYxN14K;ykocNZj1$6X zDcHfD?)JJ@gcXX;K6VUKStI~LxFVp=h(=Z?`+TYqcpP&^KFXcB1oRiNcu;0oiw748z@sQkid#qh`?HsWg` zt!;5gRDd{;!1F_=NmZcC5C`rU^T*xh0yC4u)Z^K9ZQ6(Ux_#L+o6ksXD-PQZBC@5! zXy(P`{Xw!Awtze9LSTb1umKZnka>cmURO8O$iOz8HdwvtR+;+lk}^u+%!{;C(L%3# z*O*(&LYLw9=Ur_x6{vv0Q6%6{20IgM8T3mm$6*7#Y*46`yq?y%|Jc2#9&I^M2#D!Q zC%_#e=-ySUftb7L5+YlMp+m3;O~3Xa3Hf{Z=6V76K@AWgZr7=vv|9Q} z;;w8tH*}^#ZJpf3rII&xY69=h+EqXD(Jl;Yz<#G4IGB|bI)J(@(oy^Lwla{Q z<%0+2CF?zY#gBPslV6hhU9K00cAyGc|Eje)`snd&yA^n7yGP;2cnxmiYXhYwH2^fRmuxKDoILy=upK6CSzJitcrkYJN_Dj!sa}(mA2#k^ z)Rkx0flIG{60VptJofr_EYHYJ-%v&nmwol&%;@=J2Mh?Bg{z16>U=A@^KnN539gTSqw5iL5WjK^swX@0^}sX`beOJ-+W)#$fm40?z|Ot_tcWAj$3!Z z6K$0@U;ErkK|m5ff}_ZGst5{C!6G$Z3>?rAK)F2dHNmN0YderXv`OpueSzg@Cj6IG zi(4W6^F*c4lPBxdEnnYyjVpIHs*?8MciJCBt;6H5h272gCfJ4IUO8b0kn#**fMo?v z;Esiy>VUJ^xQm17q07Sv`Vrh?Hvf17gVN!1GKaBNz&84-5w zdQ@z85YF76Zpn&hCh}jmQ!UrUg>f$HLmoCpE(*U#_?Z3*1`#J=l-B{<<9p&w0**~y zB~@7C7pV+a(|W(6ID=j#Ac5qgWF+AtIKh?s9+bq{5uUr)ZCLuoX=Y;gs!^mlWZy6- z7hbNC6Zd`+5pc(TqJv!%2o z|M(RT9DY`>@=U zfB_pPe`LSocejXMwTZlklv%Y^?Q$!dp_p2ZfWOU1%;(~**^tS4A?D=Z?ShD|jTpP6 zH#Bp$0(*9SR;g+o7XQBJE)ip=o7_!n?k^weH-?ffiv_-yOF+hKiPcmM_fC&W6G82k z7~O@)X~`}H#kfXLjElR&QG!u!R}Ut`wR!ub8lfbcuRs3jswrTZ|sYCD(Rlinljlr9sxx~qOpTBRs@$)NF;O&-mZ%^t#FJ3lW zlVzy$vL{MZ?ioIE2gzyaQRTsHsoR_KIs@4chq?d`E#)4Fy-}W59~L5iACq)-sq)aV zwCcsZ+SAWiEyXrls--{qR@t(N&IvZvT6N2HnPa%{efpi8i0`+$uj@US>B=6Fb$eZ+ zrf`_&JymSgh1FHyfF3a>oDHi;UTOBRYOm&HOO&YS8{X$cm4DHDv}+dP;jF$o!du7bKfg|v^n>Zp6_QJe1>XP`@?Gi&*mXf0Uf@wGAi=f zMPjnZc}-iYwDf@PkVf-T9ZN~W10>Md(I&LceK{a|R+?EE%QYX^@u~8C&DOXoDY7Iy zuna#W5M5pCR*aUQFpRf((vUX`{7>-PT;6DWqt-Lw+X6*Xs#N%CA_$ky6XZv{vkVo& z=?@hY+LljyyNjZL=(hTNu+_~~H_;w^C;5r^T+XV>WcxVzeSe0){sU&Ott3N+GjE4p zHO{`Sxi1;wUK96TEv{^I%%}U>UrHQMIC9TIoGP6g0VUD^(jpsy9Ugm3e#EkZDr*cM z&w~+-3fnzBk|u}I=Vz9uaqm)%F1osUTihQIZP$Jdm8eu3ws5A&7$LNClLtr*BXik? zjxVm=c=-}C9}ATDw^My+SZJ+J&Rb2rvWLVuwoaiE4~!5rY(FW1aX;3Mi*Vo1>*I*o z_!r?LJ>qnv(9`wiI2eWOw^}2cI2nnVE#j#tI-W|EVL>sDx*b%cN!To#h{|qgRJ%BD zq5hH=b=Oz#$Cy+`)gdOf>pRfm!?Yqx{g97ZlCIsO*VjcF7%)SpO}BDJzG%)AUaM%} zy}Y4A@$jaOS`Tsw0e>8BXt;-lK3*ZgmYRl#243Vkh zGfOk+t23LGM{qMun)0Gnual-ekb;z~L%(lX&!2Q}%-T}_l3QY7=UZH^3`BeuM8)1f z#12@xA#leNMUPfz(_W|cmyJsLZjY;ena4l9iP^byFMpV$FdrkUOF?!o9{qB&(4U9D z^s@e1yW|m#_khDS`*te|EWJ04DK@@+(&+D~n1z(ZK*R|A@He~$!Ej>1+QXTV9npc@ypX4S>)h@s)G8JNixZ)R~Pn{%tcsm<- zsZ6B>=vCy-xn+bG`JeQ=!0qk*W3#H`UMf4jutG9z&>0HU!kIzLVbq;J2FC+B`;H_9 z>=xeX<3)S9FFjxK;~L0}umc0|$|K|lmn$IR-Vc)kLT4sNO+st8tp6+Zn%!jQCe5%y zu5OT+l-dvVJO>OADNy89=60bLPGtao#GL%22Y5S^fTWetsi7bf%kur1=gm4RR&#zo|Svk~+#h^J{(XtL#u`*SY>c#+VhsvY8ow`^$EC(&ZECt@s34UM^cD5K_ zQ}a>0o&37_lEcLsxHqkjn4sD%I>Q_rNs95*_bDz@y5=SVS-G+PN@&DvH;s1S3er`O zx$GFIVGZsWvPgQ=sUnBz^~cNxaz{MMAC_LJ;f7-LPK@|}Vq#4ZwmDk;J|<^=Mn1Rg z6|`--XI*V(W*B`lf3zF4AK4duY%z^ufsf>SW5}SpXw>8m=;nq|w{ro5m*HZIW(q8N znmk0wmV!1O(b&5#x_D$Ob)E%9GZfIxu zIFkdvxja)Gx)9c;wysRWc~zkw>szn37c;bj$mMy6v6}P}&-9YorDW+Oh>|9S6E*g& z0nvIc?|Imbq?RWrluOp`AFHHCy4U1-CVfHgjX>qL*cw905n)o^Cr%E6#>y-6ve7$d zTXDD19or~7$qu0@b*pKznPG!0XisVpImj@$lDz-Lgmo#anyVA_yn&5B_bM!k5mj;x z?Sw1T4A_NVO$fwwrL;;asUI4ByRO#A;GdDQFdV%lZ>u32XmINgT*)xxP*{=FR`-R` zJ>%m?=$pu8xP{>=1ap@q0TQHql-=L;x_Epo)pKIXdPK=YZ48$70_68C9QB8H5b_N8 z$%G~qD5mOZM1Vi4qV~DrhRH}<`VGlau^OfF&+;Xs!uO1WBEIc`Y6wE=g{r%!6c%?9 z({Jo8-4JwwYb2*;&7Fnx0ipNw?Lo7wgDlw1wnwtqk&GW<(xy<4D=4 z>)qBys#_hNe3ixEcSJ3tDGzx~b9y6me&8_85+LPs(7I}WRVH{mV_FHx+JMLt@VY#M zE|ke(#emN0hu9Lm8@x^xRcd4#xu8w3BpT2$syqbr8%&9@~xQN zkeqS_6|36&iL zJ?@>L7R+@Xb?$?m`1*>V{;H%v^Ue!9w)hKP2&2hSOM>OA1kTI*5>~5wfDW8r3P9LB zH@5g}KOD87nQLJ8;tB3eoGD?IoY9q1t=2w-hE{MQhxuV@duQ@qDdjpEulvotJ4_3< zEch-K=y|(+C7LkUUgw9q%M5hF+pUqU0k5R<%)rg znfFG`m0Oj6<|GCiLsDr{WjY!Omue zG!+Cty2hP85#y!qG=oU_5EH<0?A(v82s!BvmFGxS8IE}3);-X z%7t8vMYVLP0$X?P4~V@-Do}1q9H#92XV4<^0{u7U&m@Qa-QF5sS9zraW4(gfP5Zen zZPzz9=oF(D8++^Q`h)Rk=)WT6OLN>V#a{(fO+?m0+rL5`;eMJgrH;aa`0v1=Xhi#=nzWm8R>xY2^~V;1UfMOBsc|#2oxGCH)_s`q^gtc zPBm;)8BSH3<)RgSr8;j`;67x_o7$0uHfmy)ekd;u?ICPb9RYMaEZ`Qa&?sb6YVJgt zS26}lY$yvVsX&RnHAjCXyiw6>9A7<|M*p~CC0br9d@mK*Q3<+U5_No?4M59(04*=4 zE_dOwRzZjOzP4E4z)dhIlDtQiHIqltH8XsBtDL$RP~3HxW@4EdDhN_D1h5kIsS%#v z;C@f|vekI2TyQX&1#8Oa8Or#D>>GRCMr$AgwVPzO?2ldEpi3i3yF2{1` zb637&x3W*C1bX-jvK{y^bu>xsga+K#uet)3-FB?!tWwEC^b)4g6 ziq@+Rv(SzkJ7N83GB(@lvHTQ=!DPV!F_;yo}x5>!e3WENF{& z(B1;9ca5F}BCObTy{BMe26Q}THalJvXHTW8VH1@3K0G4GYF=(?N%Cpx{D0mU;ohXv%G}@nO8LMkWB9=MqA>ZP_^ys zoK#*s^njt=-1iTwpXD8bOOW{L8d*Jgr6?Mteu~>P=J+NFu9lk@yZRaUf>pRuE`H!x zt7M0EJXG@DqJQD=v3U|&A+K0%fBhw+&+=vp#bAR$>E6*-+LB;{w7EH{l6Xz9fpB&D z$4|1bXNDo#ArgyyG|b~ZLCt!Y+N=!mOr?jL&A3nc#|%J6f`9=0p!?4cAobUd&Pj=z z=!D<0eWK|Ezd=9Ck+RyIa^;!4k|WhipvvdK*a}BR+XK(917iW}0~Z;oQ(tQ;hU8sR zf?ruVaLynV7AFlG5oZT~7_Z;$cEG`uR`VPI<{lbN*lw9H*iIS z7+2&jGT{_bI!9LHWmwPA4ePIyect94dP@cuIh?NO;&15zD1OM6AokvL%6`w*2#>MT zwp*qm%4J#PNiZs%0MT_wrZxSchX;hYqy4Y!|YI0x+tAu$K{43;J9I09EnyhiF-(MT#Vb{!148^XL?uDSYyJ~p! z)gRjIye=m)xcSM+J#|>f;tP#w7A`)5?WXgZ-LjIpzVQBAfAY!1CgmxL5K5@pVum6U z)m!N?WV2VuWi(V)-cTV6_Q{LhnOl+s*RJssH z{V(0ilVzh_ch6pfEa*MHRw;sGQ)tspWkVhdj%VX zTtm8@wpt|yh&2p39`--BX=QPgSyW3!kaEj5O@&}rdXw8E6*W4?dy|m9cfYKe@$2h# z>!Y=MQxCq+aRm&J!hw~I4NhO3=y+^o?OGgWr`Ir_6C(@%t~p4OQ?j9O z5=ObbLyv7LSb%c-`)8quMZM`0l*_ zv_T<_aX0s0*53`*uX4Pgd~9>*&-#nPNK_YVgECFm>nG#olxC7yO2z_;0>r}7iDTvq#tq|X#fHWdkP<65mlW2%ghMm@7agxLgXC;$TC2(Wq@?U zzL7SCj@zcOqo=vzc0h9o!`lgRpcdRTK`QMqKXued_N7qD&S|A%sw+C=W0$(poROK8 zJeyFT=$b&)Bk};)97*ewnya1fEVO z(B01Df)crmmQ|yG85X6MHTI_#C3GG|Up!OL=y=nz!zu4kgWvI!b3u9Lk_+C!WI{zX zdyX`ilHmdpzP7<*QUKP~= zV3yezPG8$Eq2vd$)bnB(E$QqQYm-m*!+K|-vS@(ipI0*t9DyNRZtIE*hL4ygb)f zSmg7VS*s;51m&;Zc2i2!BrQ9yXvQo>>f8$s@E9oMfB9bdqc9grt`YufBfp!7tnk2F z{s>BY_Xr?Wpbx87%fNrs7DsjZv+P{g?15{0Tz851a`_B#a2;0H3@L|SWetvNr{ zJ3iT`hX?l#5AkG zFeg70`O%KgYK%Js0NE@U=`R!BiyFD``hj=wsoDB^zr6Pfm^>i}-5TFL$^XaU<%n=b*LOC9%Lpxu4VSEi33Y z9Uf#E-~E_}pe~l6I(?bd$ZPl4@_q=?Rple}h%_<*V(O6dy>?u7y#HvWzp}t*DDiul zYclNoI}&nH5cgi~wj!dKFxXH?WJQ^*g#3Wp_Wpp}4Kz81)c{bt#Xb_;vS+AWavy*` z7JdI1#F*9a7i)nyU51Au|E>s#0%#Ja+;+fKa@r1o!X0+WwS_g3Z^hWk%2eoi44MDs z>oE~^lo$zDV-@y3FEOvYe-I23aubk{`)Z5d`uPo`C6ArBZy8EETjqLoEyFoa(cu>T zDi0sYt|tXDZ=42(-v5_jBX1qFOf7Hh2mX_wv6OxF_;X(~CoF@wBFWr#43yR$2)xBA zIfw;r-(d~tu}%PHr(igyB(wO{>KyKUY0k-z?9exHzu2B;KbsY!QbsPQg?Ygc5)7}C z*B+SP{9@F1mqKm!Gyka0TM;PPxTX#BXPfyiWI_Icma>|G8dXvol|Ah0ajb8=zrbeL z-WF()u7E;bo>P9Aydr@Kf1|fmS-HETBc}|=>+UQs? zEV)n^f0SutH}fq8M0qU3biLm$nQ=ZUO`@gBUp-x5v&IZc)gfewvdtVXPN5+rjQhp58$2)Kn*kBJW65rM?-jUW>t-6TH|LC)%R z5%!ghn&0bB-(VZUa|CLR>R5Y)(nR055E$>N;bn!#FG$bwF#rrTR6(efmOc+xrKT!e}c>xRAaPQIuND+iazF5@fv$++R3kMNJh2=jkJqWYgAs$x&3LB zBj5ls<2kGk7bcug%!`bK33N3&pdIV3eS1!=-VL0#I&f>3qaOzPStlrXbkH2pHSq1{H`z2*C2izT3g@Z4_WS(QIez_d}k* zj_kDhLX)mq)$TDi2H!$42zjjckFiprjd!XgmncEUXR7zJ#$N6N2mfjL!HTPhwEz4I zHB!fzX#gP;d+!Z!4ylKrNLf}S-@(Z8e2T-;szH6beeA=fl2TV+i|@4apWasMvgT@7 z`Lxj{tGEjh={hH|DwjEYA5K%qowd`(5KqiC7wG42s*W2F8hpCtSl@Cf2&lzea8g@D z#b@7mQbuYJi!JwTuuPu@_47N6$CLP^k`){Giv}!ie?p#S7Dq2vrxC$%hZfMMU)W}Ca^X##F6WSNa zncMm`Go@GX%AcA_kN}ieLY+1o-}S{1w6S6a(xuqC<4{*ekGU&>k_fmWs4V6gU1+R@ z0I|s;6S^B!)9pj!ZSuKCb{cDq|3VfsB&UKdt7(mJelmLDg@ytBUl;umuLjF9x-50g`D%jd zU5I4s)Cj9+L*xo|l`37`h1+4-pTC+M>ib}yD@2I`%HzC03Kj*Bo8uPW{R%+EAfNe( z59h8Rvw{-m|vkvW^(a%kx_FIAWq&qJst)8Q%% zyiFlM%M(~yjssfmLMe$Jr0l{%lnxBN;T$<^?`b!a4C35GphPGP0dhx;Okh?1Ru?f^ z0nMPc3WhqmfsV8Q`brW3;<`q9(sLk;UEAYTuWU_ab^2^+!(UnD>khC1_G36T z_u|)zjwaHX_OH3OCF^haU-uj~!?7s<2~LEBe;+NmhRp+Ea6x1HTvGK_Fzk(*IgGS&HC*;X$$EmwI;+yi!Bxn9BL*|4qD_{AVo|H1F51pvH zzXW6I;cEV{4TFJ{uQp>!5rLRm$tPnP#<8Pk-w#i6pR~{LAgq)#_)?J}8 zDvZkp4eX9podQ3Q9hdj(&yjE?%q6z$X?T4txJRn^r%`}IE^o4Pb}jBbf^li4;6Y!+>4qHe1AA_KEw|qzKsWSeSxGu) zyM$RqEXRT_s~kx65>C>&?%b$Hd?D+8(0aD{r@_p&tktJldOhm| zs>8j41})As{AwQhXb*aH#sc?#X1m>rP}}5_C)>tKcc+H$v8;qu9A;Tj46ve2b~522 zu#yMJk&k?30Li8{#&)m+Z-z8nRt>n6wVPiVv?y-K^(X_Rs|WOu?Xexpj@p{)K!JADnGSqZ%Nk zpo2DM8JOW+MAmN0R8|XwM-JJdY)MlANOy#XK9~)2xK-*LReKq}uwX?Q@Tu`xIMYr; z+i45zY=*1N!AR>8Bc;x?>Wqb0e@peU%UyLcBK2D6Y{|L`Ju_PK0++pPN0xKkLzb_w z1dYCnUZ6t&82kzjV0vYZ@p3;@b_Fod!I5cdJ6>GFp(uuYZv?ay;_&X6LG^bt5-7eF zS-aKZVh9r1m2Vq6fs#pSa{(nAIfnoK-7N3L8TZrHe7zmuTTqsS{s9_EoM81w;B4Mr z(Z&=5sJ#i2HFpp%P*ud<4T2sE37LFQbf$fol+6Xcvb$@u!06}PB{u^^C=5P7 z{{fU}!Rz;n?@tp!I-1|aayBG3JyLSmU{I;K(JB|E=+STR33g{BVpQVB^nC+s;Z2=C z>pzG~V!EurUOa0=vQD7!=L9{GZq`i3yr~wbi|s`gn7_`^OWxxt%MQ8o5ZvKgCKz!9 zCy3gmG*|$-NF}=ec)L^VJ)?PcvbbZ_rL#Uu#0ck8xiP911wmYY2;7kx;1EmCFod1YKHF5I0+LfD>@Q)^L!q!f zR6IMVZ@0Hn4J-i1R)21@lw9bE_Lzu0!ea0Vx#-UZh-wzy8@tD|sX}t0gBm;QesSqv z)_3IiVtN5-o7GrdBVz_p8W|EstpKdwSc&XC12`P1eBM=LP&S%*cTMqN;vHFcr(VHF zF%I@D5v!f5e#n^1c!vY@pMl1~kfB`v7upbD1+sH2I{&FXMu)=w!unj*)1b-o8{miv zFvE)gXa46EAX(Y(EM8{c5H;gekKn8Ni?rD#1B76gf!&j8RvXHZQlv~(IsJ}qR)CkN znHzchg(gFc7k3x5=`6s-yXNT*>6Z-9aL_B0lxPu7R5Wod00{Lb$$X8A^`08A@IksK*?kYOOMT+hRkvtO5%rW z#t^0Eaf?nABmaT3ED1yb2`EK};8{wjFj(%?p@DlB1F&A$AFl{m$OBkk#FH5bSJrpK z!#>}@2r>5`+SciZDWVhxux)BtV0~4mIi%OEYsSg0GVZOHIt_<7k*Nj3kn4zLbLKYSyAI>K8j68=c)_G^I33jDAN7SG=3-n!WQ$xu?CvPl8cFx+7H>)Hkmtd*P z(@S{jjFUN%vlynMc*nn=&6E@fzM6k_R6p{7bu~D_o*PR-H9=Kfd7FL{7-;DD?!+I; znWJ1*PJP9f78#Quq;fI-E24P@7*se1nZL7iG85D9xhD^VTzVD;wjXzaFN^qDUly^j zK3o3Jf4LAqKfktd)S!Sa(?x#f6N|*kW_(z-K2^DO@wZ#w9DnlZ#vz`&mZwQ(hF5R> zRD{NF_z0U!ejz!&d79Ey~5iN63 z&C>=@jM8VB@i>Tjvw;~#{(0XrbykK2<+~7cqyScOkzPG1*TT}`c4gxa@-_6SzZMY8 zYXJ-3{w#onZX`Q{1>8ni8|+K1{0^S&0$r0N%NqORR{B?2Nou_E$uM!9N1d<{0#^h{ju)2qK#88-GPKF@GYO z{9wPtw~-$omEni|8?6}(ISVKm6-F1HViGsM%^rZV4$$jQRgqDzeP+xuD(VCKE zX6Vd1fZQ+6^w)0oUqI#*AO&JVEkOtSZ}1c2`RI7|JY;d)aI=#^>W0p-+uq%ZR?I=( z7ut#dNH}L$9NsyV8#^3u8J@7ha+kG=e<4{F&+wkxi+{P(+*l@{NB*G~0(u`nLM|TG z6?6k6)FhS&10`J~Z9dN^0WFdN=l|(T^maj!UrQ$#_aqMP@iZvi_V3x0)$55XvOBFy z!8+6ARubr%8l-c>&DV85dY%n_G1H%72>!D|#BDK0p4fzPP=d*Q(D7v$jH4rF4(d4+ z*T)5Mwz3M54giS2SM@Mryu?z`Scl+qa}(lufHPQtGpz4)<*<_>dd{WZ#cN23rBf|i z{zU_+MgqQhwT7Tlsi?skHy??XX4QCdtJ=ufwn$uQjRgcO&>y~?&|dxNii*=R@(Q@) z!*FoNahq}duhaT%9B{O6J_1tRV{hyvf)?(>fV-Xl6PBmOWv%9WI#ba8y*T;AcH7qo z2;o>Ya(YhJ?3`iQbyDo2U?wxwkmYWhD%vF}A(&)Vz~H$)wxjWXxXaxjqPb!S>FEF> zCZiSYc#kH862gQNnUNpoxTdZ(-ZuEb_;ms8V0T?4WwUNJX=Q-Og`EuUoV<+L)#(V@ z1=%1^!+{8t8HygZlRi78C9$fSIda5QdD$!jc355?bd^7W`~pAqXZGUG6M&c@mLohN z*;HwEkOK1Rp|$Ll#c1_+ttrJ^_DzDQ5o*k1PJ+CTZ8@RUr~3><={}Vk=*~9!mAxcV z$X2==_u=xSqw5D~e~MsYH}&=G0`~}a{0wzJ0e1B^ijUMRwv~~}Hc_4v4UAL#JVtwq zXGG}|EuG%SAu^Cg6|KRX6uRx;P=5M-(3zQp$k^E`KJmu8`ITfMTAlc1g4xWekC$_E zb@T6Ug)A^4AI=uB>l2)u2<> z*u}!=lU-TP!Bry{^dM!Uo&inGV4y9k7&N{{u%?jkk)A7!Ji~NlX#%!qL2r^1GZV!oFx@ z$J59Pn zaS6m?#$LZf?VmEb!=*YPe_3$sp?ep5bMb(Q#iQ=rHBR@vy*>WZ!s+k#4};zCa2~O7 z?hL!yj%M3859h-E%MP7bRB>p~gZ+Vj@PA!>Qt_97=0bd(%e5>1;@mkV%+DM2Adws* zv=adxG{-w(P2bQXM$L1uGW}7{(0!Tx;^?jueR*!y8_sWWP4;FD_9s@(a}kyX)Gx0% zI2<$(_rA&1`~3RqU;&RlZpTXQtDW^}bz7l`*<4J&cDEqiwLQ?}Y~5E_z-7LrGcEIK zHbv|NJw5V;{Dipa_xB-ZMjcswbxZLU_wJStdt=?1j*k*ow2w^C9{xVV?rXh%(7`00 zONR3Gnb8>!eJ-{-J?Ke}TJnytoNwfCX$u>SF9}svLjOgol zB7DA${w46KAPvQEE3tCEgRpMTPsd$SP|uhdjJ|;2n9_pKZBFsqsSPiKbB zrDixFKZ#tI2l@1O6Ar9i?fLXsBH^3JTBG#K<8|`dz0&%#H_M6)-&JfQ1QY)W z@SD6~L{r;?Detbd-sr`;xiN!&JPb+VXbM^Gy9%gE?d|;jh2w)cesi>N$1Eq zdz0_?YPWn0%`;rVO#6DFN)WQe_UWhJ9t}x$H-a(2FC_1)iyizx(!pac_``{o{qn?yWUft>#Y1tJ^;{ zx_6mjm~XLfXG`j@zvMHv%PMwHy*=`nY}29Gl1oqaA5@7A*Uz4G+_keWd7suzeq;3U zQNxzGH=2274&OIxa_aY(6{Xh`MUAEFzi)hBl3yvhI`&DZf64vnua^s@DB5aGcDrYR z(pTge!}MGq5@}H0aVRM?x$%~+-?HJ353KI|*6Gz9l;R}!j2UcnVRF7|wt4Q?(Bv*C z=WEJipnS2W-1Xmb#dnWx+)r%y#R&!)TXZKIoe9Ow+e-mx;9_UKnm2UpQm)>MzeazaP1`({5={(!}@A ziVxF0iVqELK6@(WbI{13r7yEv#<~ivw+NJYO}Tc;D>F=p$Pn|D(1LYq^v$7su^)7J8>r0CL+8(eg z!1jnpSY#eMd*nu@Ev+rFh<_vtG- zJZiDu3~9a75WkKIXAmkf)+OVxvFG!O;r*}hemuNCxOPWmNYDJ(3tLtRox^L> zc7AKJP$l1w9@||^Tf6u#RROp>w0oVi-`5Fm3&h-KX_*kPHtJzayP&5Z0oPi#{43ui z=KPj3$wL-urB$uuWsRttQqt~Mc=~!^`H3Dgd+?txjA`ywN-m@?%SfMhs`>-^*WQkk zAl6zytUUo;A;6^WQ3|XP_kFRm)__=pIFyhRim%Hm{GIOROl;>TY`7|{!|!^t2*0c` z@e|G_*>AZbE4pF7I4y?SbzVNFdj33*CC^eW`M$Xm+;Mi!>p6!zKiKSpU2-I5{jT!9 zHswU#V)3Td4(`)yJHO?bx8?9FyJSzpFKxP3u=ek(m5IFpslud}7{z`1eABuK%)|AU zla^M%=i#q%?tHFq2)cTTS0>Eg^2er9=wNuC^B2wE0(X>9>ffuyddu8sGK>N%(i zxzx87cENt2faB^tQJlOk@L14$i;wM>_bk}Asw#3986?yw@`lGs)3Od)_MyL}?CoVX zuU&jk^|`*3caR^D+E~|nO6S|{TAkHR_cE}nUEJMk9Dq0dTs2<%YyO=Oz$U-TYih! zOBui-n|M2W#MM(#F<0tt3EsOmEI1YGpC^Y!=tdQ3%K5ju;fFedVlHe++`Rl)wC4Tj zC)&~R5ps&X*l_yw)A%E_>!Gbq;&5u3t@o&SJYwOIS-$#6b3U<@`E^_4Op_~cj zE1=w{ZA9KP=%BFI74s|F_UUtdN8saYcx%Pudk?%=Srb|c^z!O}g6n#((NZ_@Sx=Iu z&2CfPirNPVT6*42Lf@&peH1>X?Obn|Z+`WBwO_hixZijoL|Y|pWoLa(O9K+_sprAx zT=@aBS62C}z*u|Vy(Xq=^Yb+NWAr7?F7r{(`)#R{&vGtNcBg@npfv)2;yC^EUB}ET zb0l*Dc7Dw)I^uVuYJQ(j_T*ey;1|(WajkRErFB^cqO+%XXAco)i0o_Qlc)FP=LrAk zRGv8e@a{{S`u(jQ8N)V=-=BOb>}}}BxJitj1?z?K4~5Fu&Qgn4cU^g>e3%QiZUa8> z>5A;}$Lgjc|0>NCefz%H&m?&?ulNx7Ov(%ERwg}<$PdU^vDo6$dNhTK?-hQ#>KC!D z{!tt2w&lb5nD#Y2-dNYN)BbJ$2sejx?Oz=u0}}0mhyc9)iy54LX`9%L*Q$)End{r6!{m|v1SI)y{AZt%ObPrn%d|*fQo8;WE ze?nUp4u8@5;?d@ND+v5-WXhA9(=4;l0Fun^d55Xu4DthxB%lEb&$)Z>M{c;VWy2;< z{>vHnJ2=bQlXTio%=rOpKr*eg{>_J^YpY`AfZ%$MS4qJg$Ch2K^$ETiu{F%fY+cOv zs;bCSKiJvpl4^|62(Q2*t$RG`oO5>;o4a=Hr{T?2eZBnHm?}G112Dk#^&G*6?40fi z-Otzt@PAF==lcCYd5#xqZVr9LeL8~hZ7FbD^EnHCTGV$18$G^KkMW>BC}(oOZmY%@ zwFkF9BQr+L8eVg9c(i!LqmWU4RJ8befbUjjmH1$nDlglK{r)NFAq%Vs@?y`w)pZwbD*j5=2w>&={8cX>+_?4p#!IZx2%VE4#?k!2In ze?9w6H)73kxmars(@$8u7&zH?*hT^H*ITrW*6r8IN50j_(Ooh@7z#@%`%ayjE<5^} z@Z+p+bp4Sw=c+&R#ba0_vzCW_rP;Smx|?(0*ox-4_IE?-bqo22f;&1A8=}{ZiRdm) z?JA6q2|78ZOAq4LEAokKLpZB-ZU18SC1>LWrji~m9Iah(@EZIjVSQhKSJklN5Za{Y zf8t<#o$Z|$-{!*SU?&nTEVxmdW26J}ywd z4NRJ~cxgJkAMO9XpxHL@ez?!0rQWcJBck6P`WopTK?6=WVY6f6x&1=vdT4(Ww0}9B8%{uFAhojt}pqV z8=S8umyzz?SK7zK+TL0F?TSqJxOF`6@@cpC8MG;DG_111ZR3{uUrG#W_hzE!j4{vj-9oMEeFTPWy9@4ZASg~NTNSXY-tnS5KcQWh1u5ZN9 zcexLv6^q7Gti{Ks^(N=aJNXP4V9r)A)`5w;zUi1RPO6Q5Ed*f#!eHjz--?HRObU(8i}(Qz{4))BeQ?3CS*w45b5`wAlobC-X`-t2|Ma2MI?Ru- zJHCFu;*YD(SIpYBbPrG{W>Q^&LAD%Xv$j(OKw&SSaNOj*_^j2R;QiAsPru%;Z?>KA zAmqC+{)ax#?_}@Vzt@${-XC%mesqCbUkl^U8^yl4X5-~&`{#`=`}Oquo#%NpJ{aOA zuuC#Po)2fdU@e7x9&UbzF9(e$5NyZoQTaM8yX}j~6&^2S$XAPxa5)(u-f=Nh@A#jinDg$V zbF|_U3j!!Ea|=({A;CpcLz0rp8Wy zzqQ<%6r7s(`s1<3$a!lzMs(Wg9_QAIqZb0ItXC~NtiGO<&sFsaX(bdwk-W|j{B`)uW=1;>B7VbYiUqO-^4(k&*!tNKDdZsk&Oga zjg-;=6Zzxwun3W`sSkK>wi(t)3Y4qCxmycrcfarTK)Uwv)&)m&S|=b@&b;AQyw9An zd)o5{KWh8MEzUwWMa_(JpM|l(eO@sb>Tto$bPw8yiNxU;Y|B3hG0zVAz3Am9$NT}pi01M%=}xT8*%r_lmv=dr$16JYQX0@c7o*!f5xT{X&XNEub!ZS0M_z@pE~k~%*o|PEI76Fbqbo} zxcQ5KPfR!a-~!^bc%KEV0TAc=->fgByAXR z1BB^9qHZ;twu$Im?QVK^`44#YjK#O!5Zj!(`+^cT!flP)sOzEQnhw3ChVKLRZ~L+0 zyiSx;rVQ-KM6)r^2uJNnl#YM)()YF*olg@SpnkwO{|Z=n{!9f)H1#e*VO0S?jmbTN2TW^@j!lak515L(F>#+Y)S{GrS2MDCBBxAZJZJu2& zye4g}NUM%&7_oR<^Diy7OX}CfKC8%hFx&-?omG1ZzWVk13ZDgUF@_JP-@i8`-fq3o z=F>9;zpyeDJ{x|-OIs4)wGC<9+^1jvt~WlP1SeGJ1j`|C8|={7-~yMRn8Lc(mbMwL z-pASt;gE6Mxkrl%0-i3d@I|6tm>N>rQNAu54)Ja!6|Wo3+;Kl*{~gc=$G|yl_r+Qe zRKc2aT942tK3N@3QJ<0TAmn1eP*?5w)W~xyqGXJ3S$_BKH;j;vTk>g^X_?uzwrf2E zc01l<8U-J2H+OG{!8aZfR*k&{-!+f4aC@%t_)dafcZ0^gNvPqHYoDNl-lumqvEiV1 zCuP6L|L}bOECsJ;3fS}?xTe`h>Y4ySU(G3~ByDkYyGwS!)up!pjX|Hl4d?DOPIl_YpjeyczHMx?c2 zdFFxNvkU7I9L1TD5a(SN+GBfmXJ7Tx&tbxUmaS@d@Xv#*eP2sc4KI(7i;8|@mkNWg zO)Qjtp4_-W0ly12Y-qljyRzNj!Uo3tGl9;Ho{L+f+UoU#;oEe3>0eZ9!w6UZmaiob zcbNsZZ}0vQw6o~#A!)rNo2<(m&B=TBIn<{< zaP}|1qBT%kYY;6He0}RL8s$9USk2Cv`UTl_E6pjH&D8kc-yL#mmY#~1&G=ez-XZGQ zh$rKA&YZN*?D>thqMrHiDVifJ(y2Om?(;0c_aNwB#5T;<2?JqM!eT$DU<#`|YHmf| zVp!!?t{E0M$ccv_C!WDE=?mc5NS8pX{B_ET^rG8YlbYzQ6D}*oTGs*~bZ&Ofn6k)x z9dr=fmDqH8FZ`mS#ByPh_GlZWUu1QYx9y+@(*r(LTLCAWv)T1n29%$-{E!qrvE@}H zE_}I4H7pM(@S>|Grs6y?Z8PcS{k`o%UM!rYe=R9KwsTQMwmLN@iwNWSSIu1l>f8}? z&UdE||Fqp`+u3zmnz|{dRsX?$U8JrR00oQPWQWq$jb|3$UolrAQ-w1@l2-h+qerAP z?!35#+M2j%BvAOzQCDkA*wZ@Ag}^8#MSlT}SEO9`Ir~nHz}mGQj1qHW^~PV?U-uOR zc&tzjv)zB@811>?yWr9!_)*H!N3K$*du<=XeZ>t^aNC4SVt(_y@F!hS3(D)NQ~qE- ztxK=>>wd7_w)3ujK`(3Z^%*T2+e7vYQAmTdUT41?OZa41jNu`ZAeb*K7AtS!-`FA#cM@>sNbTZu}sN- z6tOH7bzZK6&w~3Ixh_5%?x%>vjU3uN;=snS7S7~zg*J|zNRwdcRyfAS2wRe-BwlS~ z31`T{@cR-5W=onWy+jy?aVbDTpEy6%jHHy9`>F=aV`&5C=oq&o&WvO!XYt=kl2dIG z<|G*7);M;A1`Sb)3@KLlSYnWZaWV2}3YJOBuq8jpr}9(5`g|s#rx@b_xYiL{@{WQb zdLv<^gyEsMEje61mE0BNVPHmvMl5}=~xDn+^E9r1Ncm`UX_B!;(Kr=xj?0b z55{?T7(CaiY{?v{c9vc`A*EqVavId4WuRK~;XQA7uShi**Mhn{$h!))_e*sy>!#~o z(#tg?la;1qy&Me)V+|#RKO`0+8a#ZL7>U%>E0r0UuP`OA$)j*3^-OL?o>6Ms59iwm z%*ee8^VX?AScxoKWWi@9tQDy!TRFy6_o?z#l%-tF)p@O=%;d(T+Q`4BCx1|3m%f411u<^k>(0rJG+)(XnLK@s;u&(fH#yJR;8kD$S^h?pGwknY)Ks; z_EZwn(dA?8VFDIVR{_X=Y}DolNt}2e#DYq!dV`Y?W8Hy{eWB4WP;AtKIq31*i>zW) zRG5qlBRnXh;auFD+^M4Z(A~&J-^#6|HUrgv_W#r$sj0tip#J9nr#_d@4QD3U1O_$) z0@_R&PvpqAO0W`&^zAXWGik2m@U0d`Ly@7(3c8J!4d+|M&j46g1M_7}er$X=z$z)D zSVaZNtitFpCXxK5$RZ4l?yS5}WX`vZGvU8XuqCskW??!w%Ks)s1F$7OOO3*8NwL&{ ztWy~Bt>SzumDDSVu=1lXZ*ZuRx>$-;X8`H7vPK}NcPN55zDJf^^nPm>pmZS9DGEM|~Vo8rmF|WZQ zq3e)FeKsppLcNiroMpxOY&(Gd7HU9m04qNl^U!W)S0XChm$HBgjZ!0i?BbV;qadeR zis*7*st-BS0luMuYoxmTjKzpf1sb?is>Oe~*cj#(4F(M&4Ujzo=@&F|O&liybDiHfitim!EX9mR!5G#`{x=3kwr8k< z;wVrKQ{>2Y1IYGY2%&#l1N|H^2g(gJIXN&yHb6QWXjw5Du0ETl3$I+dX-lZHIYMVL zOg@Bfm69)ZchPQeb)hw2_7}zJQkwCZE-$U8MT1;EH+wkuG^QoL7aLci@oj{JCM#YS zW1;a~gK_>G-|vu*_R3nBj$KVRkusehJq1lzilN*oQ4tENRt*CZ zYUXKpV+cDE@XXOn3{w=s4hQI@2128vH|lhG(SjlGNdHWV5F7yTUJdMuV670g77WZo zF-B@`JORoy9Sp1kNA_sQSv%0aBYPA;%K;MhlN1rr^#2gi87j=xRNn?f90AZw4a5f` z3J?+D4QT}@+%%!V>e(+j1GLg<_Heas3`+KzFv!*VrM^8Q4(+o{8CK*ZF$Z?9C9#D4 z5G}FfM@t-yZOJKIi8-(aM@&%Z?h5301@dzQi2SUxU0&KPMT1;lH+#7BG;Sk)OJxg7 zfz*h^Xpdm9%ramKj7vgbAr6&bTz(^3vPP1T5yogUOIqW?0M?TrjuIhpgN_GDSPO$$ zN=m6ld4ZAs0`(BfKJu`4bebfME~1eu@ysM+hVQ-4JyhB5WUi(qUp#phtw7_dI8YwLd*;yWv6z4=~7aQ)M&bt zlm$$UlA5Dt0nx40{nKTj$(yETLf&mPnl82SU4j*Kv|hzZG>6<9Y8q}1@G4bYq6xrq zm01K0H-nsCRsWqWqfnuQ;%NBeVwj*IFkQA9$W=7J8(>-gR&HFm`Ts}!|4Vz{5{HP` zVg*&9C|pAr$zaZx!hWx?I){~%y1gsi=qE)oB=0ce{Bk^=(1 z1N&-3`A?jU8d+>(sIyvA2XnpOzyhQdRN??!12Ec&hO!E=ZzbYJ8W952H3P0|14;Kk z+}HgR86c#Qn1p6GsfER7Yh)w65j2JX8cR(btHvCM!NHf3nyF$GGhxa85NW&HMA$dN z>QY&U>4IYOO`RbciL;h6NwtcGr{Jt*OcGa_O=T^XBrwTOpinW%Nuc~pl1Sp57STr2 z-J3M+o0C(^mDFk#ogj9Yo0AVgyJ%+`ol+UhJw;6lV}#TLOD9p zON5p;D2Cj~MvTQ3D5y@wNT9)Og=;eAI&?tfu+4eMJ=_m#N49-Jx!5 zF4V1*pqyxt8yju%>l%m&5Te~&DI2(v1EvsCnF?>5=A+~GqR}Se=M*3rY6&l$)JP;V zm^8G|{?I@zFlmy3NgG#YqS@qm4N6K+g>f&z9@AE-x!SPD)XJKovtl(XTRaHf{Z;Wq zEUBCclVuKcK$F%lK3p+Y=m24C;Z9?jko&4IxbCiElnmz&4`U`+^F}tr0yS0>Lv}HB z7Ar$V=En~|qnQ!BUG%9l0W{=4lccZ-$$pcmJt^L%3Hx|v3q#d)?xP713uC@3;G!ZTq{AuC}V(x&ClCG_-_30mnRL5XLQ=KyA;VhE7y*%pe`vejvm0emu&euxeDqGF>{am%99E;w*n?LLs3(N zc>&{O)qyr`3@TazjAjWC5RE7Wo_}fzNMxza=!_&N+XBhB!~hh1Q-!Zc2h>rPMjPdl zQ7U>73rCzEQO8%q9Wez#?r{?St+ImI^X18@w^f}*L&-%FEjAaIQ9G53YzH?iwwoI` zHvqv0RoQ|14H!#7U6J&OQO*t($bg>sLEnKr3?=bWMv0Pgg+c4V>Kp+BK@xxi17TFc zTd+c9Vo?1xU4N680wXq8(2FoXx5+JGtR+QC>VrI4#8l9C|z zxmZOh-h&K$2NU~Kuz9ZMpX>P*w+S$cq(jmy~uw35o24DVUDZ#uj zR~~sLWhN-BxO8N~3}~%NBYcovY6845Dipq>P$F)Lxnku06n%E2Eo52$o3$+kW-172 zj3#77oF}K_@GQXC2%pPx zuE-V4Ehd=-#6H-_`44aQ2mM}7-O;G7Op!l(I6#&ELa58d8btbS13udV%JVek(IO$A zZ3f_lf$Dq>h7n2=7~(z&y6%=B*}FqBL(CN03 z#QJl!6W)w=1kp=2B}D4i+PRwKQPD`n(`NQ@&> zaBbWLABod)@BK*81F5S_7g>r*yD%fe2DHCG7f~U{f(uRuzKLV?Qlo;0*G<3Q?#`X*^666pE4iR7h%F z24#6IjP0AGe{6GQL`}M_@QH*4W19^lh*ImNBf)c5lY>S(S=~R%N=*(LyRcvmA6+9@w4+*tl8T3V-cT?1-+JZ)^#WPiN0n6iM2T;) z74jntFzzEVdJ&C7yX=f7069h|6GZVvY@7yMxKU}uXIu`H7*Eu`#KE<46~MZPjKj9N zt)S)fbP?r;?WQQfcG(RxI}66B14!MIGRkziun^NJTp&A>d>}R9-!BW2_(VlOiz;-tXF@wV(2ftZ6ZS6|u1osKK)0u{5jlo@ z(ZXo38B*j}q=wps(7f@$m_9(4XiOKNdCb9}zxku6`90E~Bl@V&Dil=eMqUwPj+ewb zpr`uq9*AFFCe|FaA9O=S$D;v{V&c?M&J;bsM!!WtX@gz&<29H_s-Nmfr3!8vDrBY4JS0@y+_`Z1NEF1EvB6k|iN89Z~V+hb&PZbB2pRs0LtEq4>PA&Lecrz^1 zDupioEe?k|y~;R{z$%zx8*;rGNn?p}NSKW|nWzG9Qyk)q1_O8_3q z=B`vD=E)UUxT&|EPVjkJ@t zh-u^}=}WPa+AM7ntEt2Cr(zW~U;0FBWPb|W(4gOvE9Cc~+zPa#<357}RF}o3uGx($ z>Neq-VQHEx&lFpM^uLyB?Yh5Fi+m&}VlzD4GZY)dE|6W3%6QF!dwwe0RoIhIphbRC zEEn@3N0b@?ISU|XH&L9yp-m@VWH_4rrko^R3Z=(VmqF=BDCH7HnH*XI;hpJd<|^}h zw+>3PQrAPNb^%77B$j2mo84BC9s41FcIsisf1i($uZSy|)`3@~uR7`=e`V?;$bSrO z^LSZfM;qjb$OpvTAtexvaM1HRQ#mzJZ+UP}^Nj=$>%kepFuUz{nY? zylziZB2d_qnXg68P@U?)su#kpISQ!}*4FfHV)_Z5l|;R^qqw(Th>`5n;@+o1VNZNM z$X5k%`GkYHQZf@-GJ%#TO-qyW)s&2Qf5MY_Tjt%t>#npX0x?pO!t1VrCt;60l=~Cz zS+2rm62Denw|EpP{{ZEm;7Qn{&eI}4O0_#wRFe|x5Wvo^`H_P0%6Q$!%~po53>!?k zskF%N((d-DJ&)HWhA#`lSc6G>)#r9CvPUXzPXJkFLY|hk0ZdBY!j&U?-9C>`z}_+| z(;|PBHMN_N)>0>-KuaUjwaD|bM^LR*_Mm;1=H+G5JE$?)Ex7XKRdCIfSG23BY3fpV zekm`3YqPuvuDXhX_R0NCi%iI|lILPA5?3_Bb+zJbJ4Q~Iord?mC{Dulxgry;?-j=& zf4hum7iy7*6zR~&RmB0giWPg?)zn$(E_kj`Zink_Wh%UIT)h>paf(gw+8bFiTpi>| zaE(!{f$N|0RZu!Xxg4(Xl0>*}ko*eQgObH?l`7-l8YP_v*Ym2`Q2(kr2A=oHX0)q> z)K>X40CeP2pyO9^KKyq@tqg@qJEb9z|5Y6b*Dq>+C^eJ#0YW!rLad$*NAkPx?K!y4ymV|_eFciD4Y#S zjuWXX#W)H05Q(lA5LAtvN2!X5qQCIvwr@e$IFVZ=x_F!TFR<1z@*pwc&w#~`L6??O zXC+g6Hm>nb){<*SbK(S*a_W|3FytSTbc2AZkRSn-F8KH;6Txu1gpt6a#f4XaZml1}it%Msv)p;xVy^xE~k?0!RkZU9s zVQHX!xX4)|^ROs*V#--%+HS^;lkqxV?s%SKVCCLXXQ8LwpbgURbR?Bqo2C;tR zG&~oiz;sFOv{2lH^iqof!H<9hl9z~2SB~9T0%hx#LE~daqYB| z&u*EY0O>#`$Xz*F+x<-=GGR@~*76bLMp+lwo8O^b)Fuh$!j3`{(p^OuW?R@;lQOk) zm>!OUm&%4Ll(9r3!hB%}XJo{rY+K<;nQ`&3FfL>qkbM*(IrX#=PWeCvu2O4dB3Zah zt(~a__uteeR@&G-rg(f>hoWKYtnl@U&7crjjluZaHB z4|;}2-{cbIGTe~x+dL3ik*QZ(LkxfaO7X51|I@7ruQG8Af*P^2RK{<2MI;)a7MZ{3ORI)$Qd4%{;U zwuk#sxU=D&4*4v&?*~{o93FPVgB{$r!+jXsQ{Zk3_swu;!aW)8HgNwPUbKe00Pa?B zUk>?}a8FS8`%=u|c~P|wAg_RA(4);@L*hd6h7<%T0@5##;vgkJTIU9r?T`paXCU2x zbRW_SNM9kTAsO^)GbAOLlN%w;ai;kZoO2wZTeEfKvm!0mKctvI=jHffnu|fTHiyP> z6);3H>b=rJWC|3!p@i~*(-}5y1Y|dop&Y)5jT->O&_HG)FrvvTa!>Fs(VS|<;YGJl z1QKjN#AnewumFRfi^P}|l=|9%R}6Gam6wS1ukAO2%m;fs8uC97;&YBdC)lWN0+TRn zGDBrUW`F@{N)D34!EZu}h{o0@&&ye0ddjFI;4!{DINl+HtDMMIbvx|+_hK4Ohkf~8 z{#A^40CJBaWE=nyFWU`u(HC&b=L9P<}l!H+^oU>yDC%!m;;2c{|J*gm9%0cOr55oK?Il)sT!)Z zfF;HwziEP5tVteFt3Q9j0Fs_SEv6(DpA&{quGiFlo-&I+E6hrmU`=wBvHUa~PV1nP zdIb%Sf=YJEA?cwwn~VaSZPY16DIvbqDfn>N?jKe-1E^H4fIt@w8kKOm(?2<-%0Zd> z>3SK~WUo8`+9*_x!w03Kp;3yda>_8z_FUQ9wDPnOBr2i->I_Q8iH+VaMpBrM}ejRp;-+LqA?ZAr$G)Eo~}cg zj;59f%1};@JOXlbGSTyCIU6@j*98<$$n`Ug(v9Ki4>S3oOyhJDcubd@q#NcMlLyrV z>ym}glq^=$#$epDjmEA0BCf^g0eBB;0#=!B8y~0Jf8>l#{E=Q_cs1*z5w;x?;v(^C z@Olv#hZ{*FhFrl2+ew?g*rPRnIR#12xFrW&|y}DJq zL^!~(1~r%t^Dq$ti&$`PSJAWRCz!B|wY#t&E7%}25(dM3cq_tSkSwV0F)(a<`QR*+ zX9al;)tI10c>A_ev^P!lce zLvYTvt}z;pk+78c3Dfxj_^^bz35cR;N-pqFgzpxp6W% z_;KhkKj7TawI)|6u`*q}uV_k$vk+c1sD{-M`&J@Jfh`SFg?vP?rR7LJvL;0`HhBu1 zrMjBw&6SPA(=_?#AiLN*2v8P(tm)Y=JF`$!yoE<9^;3ednBj)D+PkIJ3l zx<@t=$I{Utcu+PIGBkxdp<`=ulZ=)Q(P^+KOqC?CR~kGGQQilcB9NH@_w<)(te#!i zhTtUJk1a+%8YCJGTWQYpRkTtOZGOGDMHivUMUb3MhEe~N)3E^W=p}y1+e~*Ljnu^7 zdAH%=s){(7-v$Ry3nV&xolxrp8TG3KX)oF^B6m0kLO%9%XeI}e4qqQ{PPP`&U^iKi zRi)rV@)AtOs(Y%4ssbn5iwauSuwdIMU|OV%OrTx4)r+mM3=If2Aa5#;6bghEBulLu zYDu0^#aMapEGQX_&kdUwF(`r7Ydg(&Bs-4I z#kw!2y#0ug+CHm@GZ@DH1xV8gs@F`oe*)Dj8t(1t=m>`9sZ~v9Plue>KO<76xEeR= zv!_7TevPh)PGok$i3}fdwhiQLZ9su30v?TJL^|CJiR31;K)n|5f}u`awU&!(vrVw6 zl;$?Rf=2|2IKl~`HgN{z-=MJwc;ldsf3+nkl(BG2GG8(VY)+=0HpFtZz)^fV*fRp2 zH`H;j=0?~jzY@9d?UL7(xkNfAj1=uD@&H{?2F!0*i$@&BvLH9BEXZG#95{`82P~8$ zun$G=ODV%@hk>U-)fU3ALCKhNUxNuuh?@GOO3_3f4uekQRcdN9=oJu$2vARz^}tKt zs|1y3?-c@#-&5dcH>PVeYZ9JyCI4G3XbuNwkSgMF(JMJya3~#euBNFw@Ssl-jPM116DRK=@YD9OS@oFKLz)v9fp+ zJ5hphK5IC7PV3o1%v8PU=XMDfVfpWT8pkAB*jizE)s%uEmTWKLM*-J zAhh7yBwO&krKZN1vwh1@WfX->4 zpBpn=<|y#3941hR9mim-e@6H3q%L^A@Sok}Rbr4#b2DwwCd^Bg*=~T{_Z%X5Uw~ZD zdvz;B=c$xhtVAqel#)1E!ZTf_x)pNgnI)-|c;Gc+GxDvP_*P=$im2!dDFc!N^w0wl z4cDO;C)BeuP#y@29J1gaA-u0U3LVI6s!~y{CW6eYnFpKDO@Y|OJ%ySwQgf1N6F36b zWSVzN(QsGTO`!cFU#c(E>yUdVXX$A*si;lz&)~(BsF0bC`b0QrMhg8k^=UJjA<F zbB!z8q|rG+-<~$oD6|(2*ig;2qW+x0kTX$};|ktvnwJ$Bs5Bx|WMIUpkiX@>Q*hIm z(H|%IsE=5A!$P>aS_^txS^bm2NlJ8atB4^uv@c)_U;-3guw>~T0X6dCZiF5myU5H!o3qrlh=rjgy|=ou)$mg zwP^QlT%#X%2qFx#Kv$%-sHp;CWLc^(uJNcuyKu2^!Dpt;$i|8;nvqANHU1k+$QF4V z`&YOtK}U>-dy;$>z8LPS0bU6A74rYthWhOJ5d1YvjdIW~nFEl82I}7>hU86PO4}t4 zULoQ$$(ezMjTqxIK@Ac8~}M7IlA5-C@~Tb>C&UIfEiQ+gY@rV5a-MJ!nS<6<~`^+ zuBAgtU6*m-oqaNfCiiK_&v()^@6_eLPdFvfGoEd$<-!SWi?d!M?75Y1zlXE;MBw%Y zDRmrrMx3%%hOP+%Jr{KRoH8U$5l_rTJP=Z!2W%6>FcAa&IiH9@NFm=Em?;;M52QJe z7E{{9=?Zfp5=Z+qCiYKeG!+OtLG_c&5disUpr2%ZrD{#44bp3%Ihz5J6J>~CrZQ8p z7FVY+2)5CmP393xzK5mixbNq2LBh@U*5L^46N=>w}X-LOuUC zM;~%%EJHF`MThx$D-|-KiEGmS>6W*jNw(5p{NH6a7#g_tFCv2g%KJZvM5|!B7ovsN z(*9p|d=jXP7}+|wmqB^}=@Fz>NWefRr%drqZXv=dnWN5w;ZN?`1pD%;BB4wot|>Ak zP2k*kJxJ@}uH?&gP;wfSz)twaf(uJdTS*+NoNuQsPs7vUH{`5g9C8>OCNip7IEs^} zc{!3h6ohG&lh3W2rCmdeDjT+08GO;9*=x?pHpywNX5@W2=6<-5=9nqA#czTOxKZo^ zN9+z{oRnBz#S%_dM1|;pO$Ufg9u1uL&`q#=z3xMTFDH^|t~L#mp*jb?vd9I7)!(PP zLYr&&@~z}Uifbr*?2*131g4ZK1OuEK${<740H-@aSO|x-Ra=!oV81|$rjX)*_?8D$cxO5uXjzzkPYG}&=6&`htgi3#Nn<5RO61g$<$BDV#T1LYEKH zsB5}S3{cmYB6E_Zl29)}`4|NHDjX7nB*w7NKr~z=rRm{j$+Pr=t5^L<(>4<*s29~( z_0Vc!YYlGdDmUQ+f#DdF!7~aSN+wkjf7jT@qBnnrOCc8)Qmm2eN39u`1c9AV4lXe- z5sH%D1DcyL&zu}x9S!;U602f3z(~+8mQjWf&|=jhqx7X}uElE^r7I<(ZjEA@!^b9A z^?n#NY8o-CPta@^B*ehJRuN}!1u~|o^`UJiHSzV9jc|V{@{b{;vf!NZd&M48-kcQC&F`4i|4;_p&G&WG@AwCif8q2Pe- z>(dkk=<{J%ykct@z%no!SAuIA{@5azQkDkDnv=WB zQJ(g|oCT3QZDX^6(V+?!k@lF0o%oS-X^xe^)%1#2?rQX|JhAwg_Po|E%=sGp*x-xStT*@!-}>;cMuxG@`KLqfeW7j zeOaq-NEw(VIKVa}bP9{C$UTzKG8_(^Mu6v}KbjG<2S!@O#m&e|s%{7o#;Q?}@K2@hTmhTP&rPLkqh9wqP2;f)++WtPqOpUZlFF zA^2V8!URk58CcJQ`3B*;fxj8!?%>-b*U1st!4JN$LEhRBXOeFcl} z6IgpU?k+SP0cAs5VD2FZ#`Lw68m=N-?u`)&pc-O8ac~D!Hz)*}c>=*7QmRi$FIsHd zQbcprYaR_VHdmpIynx&2_z!Uq)Vc}Is|Zke+LT7%CY}j7#Y!C< zg;qWeJ|0&bJgeQvduK-Omga(2MTb!=Mt!5HE0bG6X6e`zF*UjZg=quo4IAt*T5+rtZD2I%fX`jSkb6S#b zVDu0txNR!w&w~IU(o=3pnh4>@Vla6hIy(lHr^x67mG5aP2mM>w8Y;_`sBRI|-4Atr zWeoCHg$`~2pC9ev({Y>RyG54+2RDSWh6(;Z#@+V2xQlqi`IMmx1Q=mFPhv6gB<6^tMOjTlHUD;s zx65zMotIi!=d_$XpW9`WEi|WJqe>T|d!y}1`2%G$`bJj?A_aLBi2JP2)e zbP*@HKR6oNGpjK)Q>Fc&T^%~d@vNhhUZ(3)dZAS~5G&5{_BDRc9xcOVbX%GH#!+Sw zw#ang$HYx+EG`6qKF~5Wu@_ld49P3Y+?%j*dw&dS{17 zF}h_dzZqfK^I3PBGRjQR&LW|AVfy%T!;13ZTkZ<*xv$BwBvp zB=ps$skvIQ*savLf6FuUF4c;|+(A0)Z@rCut_YgNSA5jFU{f5C={o7DE&b%ObZxFl zo@o!wOul*p)!G?Nze zV>LV0Ay*B@ly7p$RdTosQsJp`V#y9q5!@fa-5>4}xZ_=N%TJ$ADDCMo+$`)nc*Bk& zMe~%hbWGi`qRur5C!LdP!GKUX0h=ZYl?MCrSn<8aa(}ES*H~lut+>4S4S!n=Zp$NI z(2ZW#(XD-;T$6{Xk?qeDrmVH0`t@ofOiK$v?S za?7bN=V17n;I^b*Ope><`qdO)a=8L)%?3zan66-r+0ShmcX6}qgCfh-iwk5UT$a&a z<>c&f$+yu#TNv8P2@o>4m_Z^jRo~gT;Yf0oQa==OQJ)_@cQjUvc3F0Rm7DvpOSugf z-ghM^H2%L5{pz$RzRt}V^*<7gM4~A0ZK(A%m_BQ46QfkQkQsMcD!!f=7c1s^dQ?^G zWPLt|w27i!CB%x|JeKoc7lgg)qH7e|e4ILMiMx~){@y7%;t!{h$1S%QFX?21?Uu?* zsiW6o`1rM1eqo1wkG*s*2i3yZ!h%-rhJN{=gfI`)5skh*945fiin_c0Da{LUA?fu^#Z|H$(uhNEpJC zM&7KG$3nl&m-RUBP-|3erSchqtncpj5ZU5Xq2}Dtuu{3`k$;YQF}egS6=TKY4$HFd z<5TvX^l|x7F)Qn0^%43XS{0oYIDZck>n*Q;U(SwM+P@!|6eDiNMoCveH!_svWmJ9! zn%6Ct{8;f1=a{U}Xo>hKRW{OS>Gjh}bD*|V7&Ei&N<*BOD-FSMVkIt1s+^k2sD^VN zeKeeEl;R{{K#zl@Q=C}h)Knu2e|WeQKG~+J_$N$mR{)+0GiRJ=kiH0wVErx%r1{IH zFe8FZN;;?`!XqVt2*2V~0VmCO0mWpEG(Spd()?*4cswkS=A88XQ|WtT2TV<2;CsFF z{S3axLc+pK_}-1xJ{wWtZ7IT^-Z;_f@Wr7_NRVkekct(5!I$@aD`~Wbk@bQ=-=4Dt zBW(?MoY#zx6`K&}k`(7G;?(1Wz(+~CfQ=LHb?mASGnb}gpu(A^6g1mms;8thejY{Q z%}5+oK+P?-US8UY_2Gy@v8Bs93`zLVfRQWAjIW)C1&V7>#pmnCp_VyCK$6@LY7V#OSQ zxh#R9uy4Fl8<5JLhA&^>3sp}bgHs(DMBM>@sPq4pUR8(n;4ujpwyMa+?g^A$Qy2iY zr32VC&p)JG^goe(L4vhOg7rlQtdEL`s%g% z?w0kxg^wONh2bpITNtbl*GGX_CKd7Q?f^a~q`Z9ie2xP6FlxnjA1=?{fy;X~%jMr< z;$y|n-Na52D?Z`R_9J(k__@szb|rr5q`Pvvw60UD|pTHqRWY0wYy=@(22ZM#zy2YcQo#|RybMNUu zDoUS*&4iXTj|q_*^k z$gXD^n#KQsWZs`AER$P~h14HI41?Z}0GL zXzYZztsVaA#yt4@j|;@FY8(l_p~GLA#oWyHA-}vtki~icyiZp2{;$!Fy65|`oZ+*Xljxu93L1HIN ziZYYTC@bB8D}7r7ZOaZ~&B1h0b@I_Vobf&niD%-d6&klN$SL;4B=DsCdgn8pZkaw9*hWO0_U*F)oabXTa>O=qfup$gSyn73fA zOtUP%p4#VtBNT^63Vl>9@j{k@vy!GTFxUgcH)n9n1v>$z3rsWopMitp`b2is5`AL| zJ84;ZBPC`d77SQ0+QEv1NwgZn=Cdx#%QvzH^pFx0VVtPb_Du%yx8dE(8x)Wb! zFb2~3XqUl`z&ITu9&=e7H#7>3SnIK*{t+iT7h)Okhep-Ip_v=i02#E|e`KgWa#Tp3 zW6%B(#@KEP|Fb-74VHl|O$#Atn&hzD{Bs-|Ybh4TCuGvnvuQY5;O}l?vke6V)M2Jk zic>5px4Oi{h=U~ny*;Id7_kSy^s`$^Z@sE^;rRc%CW?5&(ta!3Om(rktDwn%@dD*Q z5lIC18Tc1Ub@EZs(5IOogWmu%4r$s4$~x*xs6JJ48{zLGO&q=n)p;dK@!!a4#H~GV zQ(n6v&>(1WEYeqL2r>qhdaP@4&keF$cHZtJ^M+d9zCD#KvjqP=Lp>bHE;niPO0l=) zzQ6BPD6R|GH1$OIM@jKV zS_*C5itcQD)H{?wd?IY&?CO#*xTnG$4SOc+MA#LuA3&ihVXuODCDgLtHam!t!Wm&7 z2U<^a|76?y#485exCxbuW5icnmX-F|Y`vw~K8H=QU>#b6&X%Q(&uUqKO+F3Z0h zLuI8d%VkGA`^#c?%xCXfW;u22uw|_?CG2T%;KhJ-4p$a#%YJ7MshVihfgySLZ)i8m zU}gckKy}>!=>yfX5aG|GUEK?;e@8q$+`&{W!V|?C;I4o>P^}KM;u?f0AZ$g|weRi6 zjTc&F4>OBsT7#C;TS6p5?N|*@8u01vw#;@d$_Yp8Uz!#eZ&W}I$dmP;smjM#!c zAA|KN_4yd_D|?K189EkRi+a3|6SiNQij1UFPG;$6?2jb*rWo;6DS^eF2ly`{`ZkxP z+MV3*bwnR=>qRskg}BiTNr!ToC09z!Ebn0P6C-M+giGx?NVo_ID%MNL$gb~x13E< zvz$cjnS}sLA%7t2|3HVrn}{Xg$8AVs-tO%K_DzqQ&MGRO^jhEb+FN>!?L8*fH1$|C z`gF9o!DXD!hL(_bB`#S7zMSwBoFWcc4ctwh ztWz?&ofzY>4zSC>Wrnl3ifqin|844G6w+VrYNBYb;hro8ccjtcEvIiKkd6Inu%sI) z5_kJ!_y<^AEv#F`R8)cjl!n?KRb`iVn)Ba5iC|-Y9g3+YyOwED5r!z}hIz;)7k`A< zu%K0>=Jel=Wr#e10hF87qexO0|cU z-3xSOPz+Lr;;i6%LLm;Mbqc!OZ9yS(91v8u3@ejMN-tej2mdLQ=?tG^M2;3ebo4Pq zFM`E5{r)- zo0^0nM*#{37IX0~uXhmq(-5Dyisu+ps+3}|GPtMtR{r5z;Ed-EHG7Kf;N~#ag{5*H z#)h#k_(ih*&7;F%ZQ6mfaP<1i9cLW>K32F~?P*tR_#F2L?^iJgP zMsvdBiVT4Do}tbhD3?Rz)f>Oe^dsYRTaVSo85L5d2$)S(zb#~zhP212_n79lRB{# z>_h%SCpLtw(^m#$2`3KQKljt2~WU30>%Jy3})8jO!YqO`LJtXQ|a8+zxk+WHmFme zIMzB}0cP-x(dcV4c%F_$tL0z^r76d49n8xi(Z+*fSQfaGddINhE|9DeZj5CqapM7J08D@vVFcWz;r#JfmKaH^zJID_ z9Dgeo9ikJz5(`py=2PNWPiExn;@Ci@<_&T9c9uKiSl-Ctk(lbcLdg;crGq;MZX$Gm zz|SF%SuhnaV<~Q{chqxZpEu@JVv4D(#v!rWx|FZz!i+4FH*{g;kpt~tfkdRgyf3Q!}o7r;$|a+R>xKFL%>7GI0* zQCuI+e@tK*Ov~koY+#Vah9|kbydaUyR{r62SxfmFiEJ8c8#}93e}&$fUqDI>tbB9eS~l19tQVKzk49u zhY^>;^WZ+`cW1+W(H|!h?&<#UG`M5@?gY4V{O)MDH$^Da5}GR@OGJy0{vqwN7XzGoj1F7 zsb0_yhoT#3Lvat5;?;PE_~Sk2mF0A9CO$}Cya7_YD0i+DZ;iLNKiyjJ*hwQ zE>gVD`Fsr;>i5OIqm$1IB)Yd4Tw|i z%9QfG?g`-6;vwP$@YCvj_$~9}cgd6N$L|Y|4DkZ^F|7~3sjf~Ey!SmZ{&7yHK%GWxuYZl z_;=|nsk_S6TgrKrre5q2X`k1!6l=Cf~re#B7zH-J%(ThB>{^-Lze7TnC z4UNc=u-OXzoHl>%bgB3x+%LjhgP{vX-{_qM;gNqvZPF!Ts(_OeKTe{36=(3uxbPOUG;@!KdW}e<-d8Xh*UAEK{w)x8Gsb z!(71vicoMuX#Z{$Ce~xnA4Sud%lZ+2Cxi75`p|LHI?2IrX0Vx^wmKA<4_t;y4xEnE zL#@slJ|~mSVY7K-ChMN~JV5)H3BWN5Hw{>n?W|2)))hlgIv>=P6*7T8+7%PV&%BI;p@doeJoxRGw;TO8I`NK19LH(B={orb+1HPz> zG0#76a7h2hbV56!`u&4|wBWV`Z+Zyyo8eC8*Kyax(gF7iyuWZBlG3+aSP?^zisOo50 z^}ck=MN`2tz7dA_W4tJFbmHr5ykd4{rVg5oks-uK|mW|CtKOUXK z@?@*+T%W_b%GSB~@*Fmlh4BM9Oc&nAIYU2PKTRJ4^$tV%cR4I`j0Sm9Z3i0UO^}8V zr2SB5n7`p4izRY*kj~fG1ndL;Y41*}s=?RZowU#CiIo#-zb9JzO#WI=HiC`iKlQ{M zpTYa~V&%-mpX|jJn?H1ywroKK1Qz4@z#@P_DcpzNV5)N14X`J}z5x3Nm=SR2*M;B= zJH-UD8b~?LBrGdY0-Zw_RF+*5U7>`kWSA$CmM=q%^}=p+uc#~5SF69Do#yB+`4HomPlOYOJZ7C1>(ioGBOtHS2?y!%Vc zS~ODyHjZ?EL7PV#iZ!AWzt)>2vhG}&%d&IxZ2h3DtN=2jy=+h5{en*7kG9;hPROE{ zy%0W~?GyyjtMwzk&4cef2d~Iwz0wu76rz%s`%hSfDk+zIoXIeC1&Qpq49N)AAFsnwPeWw$Tln{nd2Y5sti%TRTvviu_XEzd| zWs-Ypr~eigG{g7n=JNpmH+Ksp#;DCk373COHxINZ+SXF zml_}2m(7b@==`>YiJMT6-yy9#nl~Z}HU!`GWusUQ*Y#sP%L0_81{0t-HHLLCR2C`& zHI&^jwDO`=7p=aiQJq2<4PuYNUicc{(GP>zMc&+x-Opa(h5cEfd5vQaYLiBWS%|X& zw@?&+HSBdT4AVd*!YOaaoc3X0d-7VZH>BX3&rf@x-x|7i_+{{a-$1unzVCAQzie3e z3R4BTN_WS05)~}Ca&&ph-y8^aLu2Va0NqB#M-2d}9en)&HYxfu`)-iNg=O<^O$!YR z(DF+8pZFgAru|WTfFw9ROfZbchcsR|kPV48+b80~L202~Xehu38-HmaD~z6G?|~1H zdBtv~m!T&?|rLecjqX`m|-bw}=GsztErwCN?-nJ`;ndceE_ z(;Mb(m_i&S^@II!C%!+QjV*fDw)JYDdw+~@D5R`Y>DA(RyILFtDHv6O!@7koy^IM} zbYGp_s3}z#lo39^lGz0I~oT;A(sVl1I|BwZGtSe zhYuahO0Y0b7>X=|`29oKiXj&~|L-h=kwxwuS&oOBHdQo-JFHuI(lC@~D=#0$V%ciG za2OjDdliQaAG9;jfkBhrI#W7_zuvFHxFmsQl6C3e*(?;UrMJ7&*KD& zQV&P!K|FQ@jyY!72N)nNBOP-@iMtW?p#PYo2zmgzB4TGge*_z4Ho1F3dmYKZ6VV!Q z&66OVFFC9qI#tq2`s=1ouiiONECN}G*)edAMBV&n)D|_GcGzpk4|o5!m~><@5An}c z$W-a@2aYqSc7KLn$-5V@AxUa?(^Wyt_ajjOB$E6{Ea7HEpxtQ!%QRCBt={Jw8GZ){ zOw>B1KW4TQBOcm-K>yXMhC(b^Xat^)iIZCDu@nEabLf?62()vOZ6S>xQDO!7jiSU_ zNWmwVqQpZsNV!y~8a87@!lezpr@-eFvIKTNUtP%3%){(-+YO`LrS&I^53RN1;sb#>e>E5C`6Vc2+Ob|wbXO0 z)?Iv#0qZ0OKV)F#y+60Jq1Af&!nXZ()Cw)Mf3^_sR4mlEL?G?M;I0&)_a#do4q=o^ zo?XV)1rZZwp^+aiV?AV3&+=c&SW)K-p4*Tt^DRv#p$rHgU}P_LRf#Wqyx;~20_z>E zYe~w5*0??n8@zi1TK%@4Uo*023D!fSS!&lV9;}$P7TYJ$U)avduUoe`WRms^uk}?3 znA7m3YS8?^PmV_ZbL{-;XqF{=&(5R9z>lTy&@n70=YCAw`H+6Q0Gzr)YrfF^L?iSj zLBvt1Khc;_nOL>4DE_;I$|O-`=bOi12eQo0Pmf_6&^y6f)3fV!*GIKOtJXtNfzY!+ ze5soFaz*Paj&L!_CCe!g*H(w)B!_Ps%SyCQ+H)&SV{@yH>I>qKKgQNhtji6x}E zP^gI%*E_jqEXz`;#7-XGqn!0&5As>%tT;9d)RE*v$WjB zcD7TfxttgYjyPcll((Q$L^}qezsIJRsokv9tDr7Ea^ zDTTQYCIn_G46zO`hfTvEZJNShUI&X4H9I51Xf7y$=>n2DJM%N+&_B2HAIGsJILvi< zdzFGE#>R9#%Z5}&SIRN?E^v}=xd?H9jhn`^0g7<(Z!iDXcr3^p_>J*wP-xUC+Kl~a z{lv+0?_uL+P@@j)ElXD74KFl+uVA9;gp3elXjyV)D7Hn>RU~|fEJOyCLO~$S98_pj z0R+!a3XLQnOK?b7HUyZe>O#=p_w(=WVJQ(?aQXNP0FJ}Qp3yvL0_&Ris83ak*yXe@ zF(o7b?%%l{-{ZEyO_GqzC@V{>s2t@Z3x8q)%TKMg4J)}K>Duk1Ka`Y+Nvnrm)fU7m zpsIJJjbB0>*(4k9JdusYM{Glt39#DX2KrXIpO zl9qlZE`cyuY3)D$d2Svvon>?`m%?@W!5GK0^bG6Zcgm)-lZ>C7iPhqD?wyIL=3B0t z#WL9;ZkWZ=WVll`&0@P{xb}#cjkD7S`H0y#JDJQMn~n3cA^g~Ert8ri(vL#cx6Qi+ zWqUul;+AJsGMN9oR*k2d2*x|HvT#tb&%bpJV7PeR9JIjeeEb~jJ1+6nb69%phgiFw z^Fa^@f~Lf4J&kZVz6)5otkT6NFJP(R!*Khn)W5zs7m)tsYZtI=qU6B^Ov66n7Z$Mp;4Et6LY65z z=j10AvMfy-+D~QOyGs;$W3ti|v{=>z6x@63Utdh}9=%;#7qNKO%o7%&PPmf~Uc@xA zw;g=uBBqtSj=SZTCZj#zhA|xrlXDpTT*>!e)wb ziMK5RVsAQl{JrcR*%}95b}u_4OLp)a6YIx3e6ES5WwqO*jN6K$niaQ$KV&hW9v!V&%Szn+q`{?OOf9Z?l!SkI)CC;W7{CB z8DL8i*hWEoAEaE&dNGlIw-_XR)6PAM!Aj5;&4({x{ba+OeAN>6lme$xHtt%&F0&W- z4@=p)xc6-*Dum1-rVF;1U0>M3jwttftzkA^wTvwbT?%r}hnXJD|5(O)A@M95*WAaF z;TmJ(1^2N_y}CNr0@dZsZ0N+M?jZB)Z6%%1M(h+izd2v;v99-4>-R}@2)O#??C~Ct zI@anxs%JyrX{K-w4$9W*H`HrzL-hM%56-EJ`fz>fL|8xZ=;1D;r ztzgNrU)(%yB^xKJcXQK9G`%-n{MnTll^%EV{jd{uxvEbEpg{AtD}6~YRwo|o8UFK1 z)+KX2-sB3B5IzA_i9zjg!04EZY1z)YRkv4tTS-wb@{|WyW^Nvo!D%GH5wY&j?Uct@ zjZ7=wNAlwf!Dn7mFW;HG|Jw?-U9neT@mlY7a{VgSbzFD1Gf1gdf2lycG{l1ji{^%U zqS>=xKyGR2T$8Sk+8ediLk!LM`eQTZUc|i*T%tnOS51nni_Mo?WEejcdp*`cUVeHN zOEeyJ1@eYuN!F5P`OXX}@36gL$U71psiOH6+l<_3aaZK*W{ox)SfLfTa<%b$q2Hf* ztawCddpz>7^YjNUubdW4o zp}H0K&dG;Z5Sz0Ny7!*bZ-lPB>IW*z2Uls2MYntV+CLX`%fZ*Ht5RgAH7zq1XcHEP zYnB>GdTwdiVsM&x@xa}LHQybjILd5UlddW#yQO(PVhZUb7P!X?dEo5tI5aK|jGL{S zR5lq)d^`YZzXw#DSlUJ0;pJ6TET7HcwNZeyEnuhztk*uq0*kYk=&E7Tm(Jy5m^2WcMA zkY!+FDRH^$^aa}TTNhWTP`nG6Bc_#I(GY_S=n?_H@|F=!DF3o zw*A#2=klFwh}>eSohcPdk@J=u8b@Aq%z+j8swtD8_Z z4FHO5-7^|n#v0Fpth8Cx13}986P>Z_9tpudHd)5oE!n1WJX`n1yrrfB?XU9=nB*GW z{5DfJy?Orp5va9nh)_^6uvnJqC49Tsty5aQn+3vkA_3cTugd z>4r{M*3*p4+)zXjoH45{gh8xg8m!53&37rWq^872g7soe-s1(|Rc!BBge3Hu?K8W?J0 z`(a1bK{#?HhJy6)Tu3f^_~|uZuY1=0j<|+Bq?#x=ey<;;Pr3{>mJk*MCy8Ur?{X;l zlnaZoe!%g8Lk?~Ez9#gHX`4w65qlMsa)*f@;87A~xs21}R8Z6*NuCj>-=+QUoLq+` zVuo4_!T|v(?FIGc6t397Y9>yCcwbL^3lpc~{TjLf zAz9U}joqdAc#i`@FZ6XFpbTx!#ClaNKIhH=loCK$A_>LN_aR7~D#6j(`0pFovzp;Z zOBkpjOtI@!vIH*&@)~L=8VwWGHooZ@_PFLxh+qUE2er7tyX{m8s$DJq;7UfUtsXU` z$9V3uYz+>ZhI=>Eh8jrSrdr&Ab+a0Z`GfFZidf6N{F7&)dZ!w&d^slIbYPgj%=fvd z%v}+0w3kOc$6nNCb;NrY%fmptSSjA8Znb#J!_Pj)p4S30zTeZ-!=LXtS9d@<r6ygoQ!RZU^GVNJYUqto5*1yOmll|(8 zOmC)jdjZ-ENgc=NqfT9i9?+=L>(-HuEd6z;!2F~(;*JNXNS#TWwNz4YLQhJDz*i{w zNau#{MMoxHIg{ToI>D!hFradS_5_)uyO|c5_Lvl+)+-mUd!WI^ET-A%b}-AsG;$oG zVj{*{4Klc+?J~HNJqchyj5l4kPH^EBB3#?xeFtY3FgRX<>oZ*36rj>{&KML|113I& zr!&s^6yg9c9#KP#{jO5H;8+3I9+#%}b*Oe#i@RK;&qOSgiRVt6B}pJj&3h@R@W13V zRCNV6*Xp(v1vOo_w%X;O%?Y3h?nG==on^)uXU0BRUxkI)o__(K zh4uwoeC`X-$2AmJ_(5`tYxF}3+DE<; zzc0O50yIqWW@z)IOb6IQw!GiUCWU5F;xLGYQ<7}EY%3cExlmq9drrbq{VbB}NYb)rXI z5)=0K7zwFPZMa4xHa22Wq+`T2FkT%)VKZ`r%=;ujdr|U&HtR=HW=tC*q4KT`t1NBE z-Z=5BGm0uq9j*w`en(t75wOrPuMXg z%+hAcT5R5K2(g53R*Q2T+z?u0TC5iLO7u|I#Q4#8+!mwTI7X&D1bl&jzhWM+QSxVz zS}hhkHu%#%>rWfzPg`L#>wdEJdxFyXknk04qK#w(PS_MY+QK>|x8Xh=*A77h+*kUL zL%wni#_qzx;>y~rJ^f{-itte@!ymFhDp!iX%yT7Q9B7v;(Jt0WP~k?pHfyMj@3MfY z`L>rrRZ{4M){v5Gcv2(+O@^r5=Ads8(zk06Q6-;3TLQS(tlvmJU-2lNdh<2wXWnK0 zl%Gl|m-$ov#~*iql=8Gc$A09KTkE{MY%_M5Z%M%n6{KX8!E2IVA+^S9cwK-$wHd8+ zy?6IfLPMsd;$O3xy=_*D7aFcg+i<$kV2h9Hf}Xy>76<{!9+K z!#F^wgsFg`?m^OBFTHnrn6HcQ)U8Y_X)&4m`sCqw3#J&ie`B{|qzRx!l0<~ovP2s8 zti?(_Wa5HV^=;OJp1q~}Mz>k3VYgWyyGsMs9)zru6gQCqtbf7xxc@Z?QYXmpUQIY4 zJm+}@Un=fOxfLNM$7VBFMJVZJc<0`gG}yUuZ1|}j`Wno7P(%QosKjUe!jr^Y5>Uyw z4yp7V**^*2h`V51akOEhy4JWB%97jg`q!OlA42Rbhna#1fmQIP-<9}72UJ+p6aG1# zmH5g$OuIrt=54Urd-L*fqBzIKwD(D2yQPwL@hly?v~s{d9*0F($5aFzpN}gH=)nE$&=XC>~{C`YDJz(^CoG zM)=C%o8&nH-?Q+Ifp4rwgzriC%HSJySGM^G@#)nyQ?~u#y;HF+6U&foft2kaDcghY z6-$R9R)(i`=}_1jPy5m#u#@ist3^n4M`1MZt-h-;X@J^Gf*K(~UF*&@FAjw)CBr(s z4SJ^XmUV}H)4gI@2JAoFU5(wOnrrRQ3S8FgTbYcJ01MfAD1i<_+>!Rd(0Bh58ZRjW zdlPnGb#f4H>VM~8Q)6#|Ey6fq)JbMvZ^=r+l?pf)0uN2+!G90T44B<8oAA_k2)-YJ z8^>y!0^h8wmPM(r`u<@;ZD!NWK8zCjt0n3Ghk@@DU%mQmTd{ zcA*=gparEU6?F9*i%R?2yF_6T?J6)9`rSpY5ypbjZ)UYwhjpMy)st0v4GPga#=lH> zuS$xU16e(96M73`>RKFsOBq(#DZ4gj?{Zu$n%Z!1+{=)U-t#Yrm-hq$(_K9bRq$WM zc?|WYdpfcki|oPx|F{HS$Sy;l34#A@_|5d%ZBf&(%i(Crw3JGO9q0*xE~G;kNrMc4 zP0K9mVk6+D)=$f=GT5~Angn|V3{8WNz^17%7&c9ZkHM~np=t3&*fcecN>-RvH_#7# zlSPb;O8BUAQaQB5ql7n|6QvUNK?!MOyyhGymGB{ya2))4lsSj++T~Milt)8v^*+vVWNItWF=)fC+0NYgpyG{aYbLfm2QV-WTDOsSL z(MbA*1ZXG983o@bl8^Rm*l5vCq*xH|Z=|)#+m3a=v2KU1`tNtyR zA8_!**I26g8lF0kY3oyj%|lXxaTamS`jkVi4Q>x(N1^nqpF?$w+apo15xP@r+pMb` z^yMJZKkA_W63i9{aM5}I{7zZ*`*DvBo&sDq9duHDyP>I(mR$`{@gk^CRBQDg+MD*Z`oB=fKIK_DPY+1+C%RPEz}1>lMou-t_tym_dvKo z?*kZtF9=osRsTrUV+L8D9Yx0**OX4S>4b4GJBsiIMdb0us?w<)A*FMVH$G@Co#qb! zdvA8>ykDD#q$seG5VrWh{%ZdRxn&*5UAiOG)De2&j?noXq3_)hI;SJ_9lNqC)XWd( zzwKhl1=ldcUvHUU@a6fc{i2@XYnY!XV>?o91T5wcHFShNZRZ8Avlx)_kvsAl(eeHM zJ3@ooA=2cp@A>v2DSbe`7$$7>vux1fP8EY6llPd~JlHJn zF{#;C0U6pMDc$^74>cod0A&IY$R^hw+LaBD6TLwbaPk|d={pfgv;WaTyGL4ae+T1& zp`GMN7}9@SfF<+)T}CfJ7>V;PgQN@3en`qSJn`%cOTHuQ zsEz;jFP0T^&HA#ft%adK#rSjCj9a5OSWNOYJov4vit^XuorGHBi-CHqM2rBT8awK7 z;T@qTJ3^-;ROW|vyd$(6p)vm>k78Tbs#oj3wk6jXAkP;ns+|?}`^M}yd|(T!$zKvG z4zs^yHe}7Mg7doFH1}z|szYRup&)@Kp#|vwRnKw%2lS6nv$SQ5r2}-79lHeya-$z| z0Isk3wOVh3kH1$hx#)M6oLVU-SjLNHz_cdB-*h5lU@tU2kDql(_+lm2(lW%ok^pm%qA)X%mXQ)DZd_eDJApwBq6iRFa~Z zd%_vO+n(&}7Oj}Y{;6YXC4ulb?+H`t*ai93M{!H%&aI@w#cS3OZ@np@bits6G1qXA zj)O70lXuP9OL|IxCZAqdM#LcGM@g~#3_NVu8NRQ{XU^3fOS}C4XZK6f3iZ2COd+(4 z=nOkB1=0K^h%=o!?DWjHrX8dmpZV6LgSe(~jsNZB1}9V%Mm90%61--u_t-ZiAp4&@ z38nQL(4N2Z5VqBx%Pqd-gAc}{9J?e9{l2NQv!Qe8RqMMF_Qu)>Llk@`C0}%{x>OJc zJL^qhDE~EUoktCz*Q_(3gqt|m6M^Jlm=S)YrEPnZ?}3YQgng>SXfpXWf8;IJ%k1>v zRU_9mYq>`Tgfj4kN>szk_)aV+Dm@kx9wJ-3hjcv(Ii&ce)97n>g!#_BX*k7@7SS}T ztKsV;`To&ZBsYSLCE;{HU)9*4G5>+T68pLXl2ehijLbyeHwo4IOx(2$FC7<>gE)A2FVGYwPGbSdGCpxzhhaQJI{OTstincgk&u2X8N z=K1~=eQ0_td^07VZ`~}2G6+Z89Yr zqKm>Bv-Cu>7S8sA#qttQBkLR=wx6XX)+t%PLc}qc0MatZKu)N7tF93OEnnLw5?9(v$xQ?ox z7mn*Fs5TWZdw9)3HZfza`+?+nNtUAUZ-Ps&K!-zoeJI-CWbg~d*jSPbVKxs}9b!4M zFPwb9A*M-O1Lj9v1zlNI-+$?g*}AZ@FsbtH_wvd^EGsA)d48J0Up>SMWRE-fVxy#e$ASE$0}X9uNZiZmR9@5TIIe%_(n>;=vv~}IPP6+Qtpj-BqXm7N*e1< zU2q_^M9>A<77V>+wMjkHH`Meq( zMbnsTg66D|=+7pc|XxZC7uw!%F-J^F}Lou zBY#+*1y@4mchO@O;0ju~HwI7In@mvqDgI^;5&!F|Guu_;!b$Us@|azvQRvyGsiqKc zNrr%5F&p~%C`qALxi?n4#}2ty)M{r>+L2r+w(@CsUZ(L~`|L(mlaSSf??I^2J@7xm^$fQm2~)5 zOMW~gi*fP8!2C(aX}!}EfWm*I1CXTJ-sy4ho$m0F{LwrqX#o6!^l*TiA%$VW)h<90 zu2?7dv!fX?7&O^`hFB?yDnwx!kPa+dh{-xv7mW#CUdc_zSXj=}(9BB;T`-r5uY(!% z2gHtn$*oiB*B=pTX6bsB^^$t(3K!pXjK#@hHh$t5>uMhF3Wh3*EOdufZ&(()q{z{J zlW4eK7gvTn4?3)c;6=@XW|rkpNYNd#i812C-WY?hd8IBKF&t1yB0#P!Sp3u;j8^?1 zdU?3PVeRU&wyf4E%hXbyX^2BGPGd(1DRtmA7(IO=%t|x&^6)-SiWZj`BH!|IfIX+bsN?E@iCIv`iQB}V+t-t|CoiNdyM=GQh>8UFSZ-OjR| zQkThsY|tA{dQuuMiRn3tl7`Mm>@{yta*-)kVb7U zzg08=yhG{A+odC`%BJ?uuGn7zrMS5`DahTWZ>v~kTwXD)BHPqeUyL(~?1~k}kWIMdmC zXp8uscUZdlmZt6Y-@VMD+$mV0% zFQb)?p%$9Y3Rc2az!n5q!8U}OH*Wy1I!?Q4ss+tje7ue(YlETn&np118(|kGHsQz){Z3o3rn*N#^JltgV7{Uf#8SC)cOLzsmh1_B+DtGA z7OZ#g{X*)EazAa#4AcfH+)yi7^ObI8i+bm_lCC8`==5a)hzUS?FKvyDUgue|vCbmVRQw`;}o_avoszIlH&YlGR9w!*q z%5S}o*8DA6^Cj>Z+(%;y`d%RHQ-9d`jr{N@GtqXzOaY-$wE zuw(F13$p~~IT&gv#30gXj6(lk(_&MBNhQv5`yL2UiBsL(O~K$(Q9%zq(}!RN`Vg;9 zt7u-r8`HtC8}aBhhM7Vo-1V4QqHr;sf;*qbH`GPoUfk&%BB~PLgP^ zs!oBh_oOfxl%KcO`(Aq1V3!~-723n(Lc2=FQe@(ZdWz3V!488szrmdkiFqbI;!x`8 zdiEiQd~`*HOp{r`#7f7miu{I85XW4{wWnEX$P9-ZPYpA%l^aeoT`&4X;L;$=N6$nD zjmAPm4u$kG1+q*}!Hg$bZ3+n45ITIvX_h=~ndfIv;E?Nb1zqBP4V3c>n5cvN1S!lR zXuV)>Lr=5TC4*iOVC)joWAUatx3P90&MkOCgM2;?DBN_Z_vvR?C)AMY0|nv$|71XFAFhkG6^PVSHqM7?#f$R(Lb*<=Cbu>8QV&^e)P4}Df5xNVZ_g3^=JQu7FImK{#krfgen{d0XuuuuZANT>j@7>>5sa)zVZ(Cvhrq18(z_(&r~7=3SNe zFvch)gzQy#{hio(RpN5#`D-fF3V+B#XOuYJI}#eBRd`V*9}i2@*BG#*J=jcd(GLgn zo=UWVH~XVz#ddP5+$t!!Tz6C`>8ZjSKLqwK2v>qJW*k<$Sil4$qzKP{EAZ61N*o5X z)fmkezY$zVHEiC;LA zpe2FCLRI2wU`mBJ2UfJ8gGp5+9y-4^7ELils-^LebLec$(r-t)2i*MRS*R|!$SN(c1RyT5Sl?2HP9Mz8ZP5|Lb!MWuB2#5J{4#O zmgFHuI&X`gnN{D47usmJooWx&sKh*n5^vN&5EwN*&>7XR1Zi9t%y+{Uk>a@{k&?o8 z8iInk;XmxL646NyME!R3U=eHbSQDIT3^GC^CD;WMRTqS&Gr^{bQbN911F$i6xv>}- zL^UWdqT4_z=#dD0pAD9%M*1Zyk&fs;6-ZMYSr*AVD)b93^)m{Y@sm~J^Y&nUA7i;_ z9`8f-k{#_E`tSv4+@aPq(VGqJRrnUA63;`(oLa+lXH9(%h${$%6r)&TQ|ju?Lx-aN zde~MJqxU5q;7u7zQRoeXr}@|KGo87Y^K3oUvPvA~RMjing^}H*&i5kr$TXZ(+ro@` zlnFWJl59xSFf5auJ|1GBk?3#)X0yLs)W*>E3yDTD&_WaC)1O7Ou+_yFgP@Sam)lK8 zQ++>E))cIuRifZLQ6EvR(GNlszv>7ZGqpam^S^$9!c#N}iyh2W?u;=inG*I@CccQ|^A-G;vJ zC^%aE7YiL^kZlVVuX+a|{0725bnxl_Wj)Nncn3?l;|U1#Mq$fDA~cG&5`_|c2$>~Q zY?%UvAhF&%9{8`a8}Q#?qmZLsrCzbKq(t7P5~kQRN2Y*y6uw)EIY)}QSBm)x1jd8K zZC(h8=qKBlWmDl5OSO0!m)L4Nf6bjw*ysa|FY79aIK zDV5?;iFsUQ7Uw`fr9iw;T_}Ni-wVoM5(*X@QOtbUwH_2x+UCCPp%6TH_W!l^Cg4#O zS>O1*b$iR+BmuGkorFL_SP}vlAVSzK*?_Q$fDjO!25}5-6LIOVi0J4T7q~!BLBRoJ zNwe4qTR<691WgnikUcB`QM_#4o9=Y?|95V8L!5Ws|MPs`^W}L?s!mni>RL{nI<=hx z#R!jM|D*~7gGYmm@v=&2hZ$_d)P$!9U`@TXJlB4Z>!~C>iFRC&2$G2yd{cKD-o($vSt&dHg#e-9{-~PGP zgb%)VC(fd5dGHFOe8`R0+FD;i#dl3_ypW+nJ}j*}%-ezk1U2+Csr$FMvJj?Twa?Nr zql`-2X~^g?t$B^|LlAZK2z7a-=fCCKqkOPPV%HV6FNNScpB1hvX}+gzKoFm=QJlkVlpWrDaUJvz(f3<{Z}qNIM{?<9 zWK1Z%G(`+KKPTzM_JZ6&m?ZsvgwOix925d?F114RLLu~8AzpMRVR=crDbL?41TojS z?)OoM>-M&V7OfC}aktS5@hA$R*IIchesrpLEs8*2*Q!C9l3ye4QW@nRTvEkR*nSL* zV7i$>w>r@|Y zY@RQ!M@1>JF=;|9g7h+?hI2PipQLcw3 z8PJ9+8S@=cM+fA-5K>^*dfdlH%**&PM{GADQS70mf6imI$3ZqU$~&54acx+m?XCEE z3i<>*xpiUJ5(}g*1A5iwxo_PR8cF8EDCrO;FW%Et{0RyM)P_ zi2PixM!NDnpAo8at=3)#a<#u!OG$Nn$IxG}%p#*YTF96>e!zf0b?$R%A4fK!zuf>{ zzO|2p86J;sjPgtuBRwW&qr4sBORvwQ@9Ox3DP#-ys`8Y2qcOnS>1*Ftt35^-{tJBd zmi84*kw(~sBx}Jd2eW!u2v`!2jnu1nZ+fed)HC1DM-uD#ar(hdquf#YsGg6Vcvb1{ zoRD`_5u8U=(`uTY&N|1UP@PezZqS*$0IhSX*;EsJlPiFsxcnH9T_P;kV+1yr+J(wf z)^F6%48HPb>(IiVORg$L=Wyh98rld z43O*1($pXLUODreAW;17VP|l~0&Q5$MTia|2b>`l^a99;9k~;#2_e;RL(QQTzJY6Z zO5gp!$BiG~oLgf;-r&jd(k9FFPUrK)4UM_tALvt>P+JPgG`R)@W$lu+Usxt>E=iSK zA~Z~4$}`Gg(t|(p2W-b6Ia)qSoaG~?e>r_4EX=vEaA84wS!5Y6R>l#7F^c7Rda(5! z&Iq}zSzkF{Wc|a^Tc607l{YN%q`q=B*nTco<+?3n{G#$-yXFt2pMi-=@##DW4^AUE z&R1$_!9mqp5oIleVmFiBE4_S-4;p?9i7NkR>GhGI8k(yuH>2XS2rw+pZ zlQ37ApJ5P7rWbBE7bZR#15Ou@A2Z4^O$LpNN25_g(DDbHqH*n**bD6p5=n#rXzY+Y z#dUl(pJXfI-tZR~Wv6qkTEGRbNPPgA-BLqV_{4+X)X)`7n?$avVJmc+@1m-&TqqJ_ z|7}5K;VP_Cjq=}|(knmVV%;|?TcJmTbJ$P+64O#DEZRA|I)o7=Lmu^qZH5e?rzHWx zw)(?9@xGc*jQ&w*kjs_r3l?DG8w|q9*~>?a29Gw#6_^?I6_FKexj}vda#R#XmJa?! z5O<<0=8F@NrThx+v-}$;uo2F@8skcOu4xjDOl4-X}*V4!-{ClH%29bJf=pMx2}i;Ii{6x*l~ba+NRYtoy zID>pule})KoDD)5xB(1&(L#8T#sQ$U%U*@n;X#hNh%@zR8aFrKMX!&4B&iXy0o^{h zFc-^aqa3GEOWe%OES> zO!$BGWZ-`z{!=4wXj+0(n(lc99M<{f+2x=x0}M@J15PXKaul|^7+ZKu)s?ri=0eso z%B2V!rfIz}!pO0-`~;6_(vvvLAXlSct&!VCkEs$`EI=Il=hGKfP59kCzqeR@0R|~S zbF|g*65QXtwt;|JqRr-N$#a5FN;k-h(SGys`v$0SqH-DJ=co=EA(bJ7V&-@v?FU%cHPZa_Qgh2jMX4thW`aCtC$EsOmtP&SalW4 z;XmnSD~;N7W`lgjqpM^Uv6=zKe|y+U(kNq)4?{>~7{&(qsE28yx&b0vguDr3Y$8IR z_qNKnJZ3~KUr^0nMZ#8t`J^=^mO_46wO5 z8vkGN+>WW1)xH7_E?n-R=kL;juIKd}1rtwyCor=fMIQF#jL zbWigW3P~;r$QC5P(HfMb*qEZ&k(RQovi))UhnVFK9IJ0sx;bw*&PnQA25ZFS=hWf~ z<}#^4*G+obJ7|S1&iBsnnUR6a=;wS3TIr`Vyd@*S9S(zSYi5D8Kpm}tz2&_yOQ^^I z77lE&H*q#NEifZ6n^)>|mLC~O#iOA@TV(zUEI!LW-eXNOjBp`0>`vl~mB1pAn^C0P z-UAkb+%SBAy$MVw#h>GQM-s_VW>iGV?DuME#yP&9Asc@x z0gi67QkM|@-`4dWNY7R1#{xFWNZC;cwwPWiP9FL&e&Fq9Y2JB0$wp~Np1Fk08WJE) z$p3)bx23fg2ijhxLSrTj##_s|K{Lo!9eo2Od{!v&dZs*hIYwWMSiY@vI8A=0og&1_ zWv&ohC5y3NRZhBsQNpnZd(%aDy~_xEFz`i$CoA8$eER%I`D8jGrQa<_iXYXE<#ea7gL8?(rSGWavjk|eNG_%L(q`${C7eJ^XqHTu z`Ru%A|1VHUPQ$+n)#c#-6@KDjhu|_gP>Y*5c;cYa;Z%cC+ymRHnda>p4R)cshz)XS z$z{GheE(LZjhFFG=^}(e=`egb7ZnHQvWzUc@s*QBSJ&vcG0|q3B_v%`HmJ$7;;hNG zK=}J=5%SE{QCy`eC0*gWhFw$^(rnm^a!8QoHuACIxNO!6m(6%e_Jk@eKr;N~SXJ6~ zh3^7ijw+qMg3*6b>7+`bjl2jSuSyddaUylvE3GEi0lhJee4hbV@F3j{oisB(*J+B@ zUekm@K>Zqf8}4c zQQAPd)kqu2i}IoT==bSc`dy-~0A=lgpUU_(T*{w*kNTPVSgR!BsC@LBR7NWEe#FsH zSF0>6MCq$F(r( zM{PZdI?YKjE5IqHF7bc*9N;vzME%M3GggV*_l(s_oNZ3Cw*qM zgFB7utn?@7m)H*2d-pI(-uGVSG4-iXs1V_0ofvF`bIV%gH7E(%#i)gLieX-E z8O4Mm+^mHsBU}%SYR!18+}CPEyQ)rTT&UWcc2&;3r2J!F%WHnWq~JnBjW;c|)|blae0F+XQW#<`JOQyJU^+$J(sCd?p-A!8p}*o5AM?O*+>oU= z7(^mh(4EsdX-|+x4JkUOc710DHnbkMme}?3dsrmuu?!dhWhHv~73uG{_*jN%>(g6& zhOMe;`YgTdaAN5qZ-l8jy=>R~pEl730bjAcBpWdwVND7qwt6A_1F@~pvwpPLK2I-? z!b#$Mg!OLbYEFt5tS~PKiBvDYjXa>Jq@)=B*PXb+hz%>f{1P_i_3{hIOD{i<|2k1` zlVgzGhxH-Y@Y2f}@P7=gWx<8*OSHFFZ_|?IM+`Jy%UBMiYAs|L#BsJE`{Az!)Ey~$ z@Ce*J0Ek4R1{URxq}mtT|3Ynxb-NyqCvx)3;aX(N4edl}_(mgCiVn<@C_>IbyT)ajBlT};4BGXM=D!AARO=!CA+J)(>4KVw|7U4Mrk77?`djq!%P7LC z`XH@MmcsuL+623KZ*!gYHWKyh0L$Sp(E_xV*yL}8^>Ei}tsoCFh@oQI_N)?AW*AeWV=-BQwBUL0q^ zAwp@qUQWOYgL-*RlR;d6U60yEAtVDKZE-<@Ix+-!D%`Q^7mX3(myL$JeSkfUEr-$d z&MYa|NSkNQqZ?F=koU!6X&N}40Ut50bv135zDtfsZIQ;?#wUF}s2=R+X zme&MO8h@b)iCZ>=<4O;hcLw3~bw?B9NV!o-iJ{2n|0n#HmCs@2kW`V9Syt`#YOG}p zJaj|+5lu44iNu`G8kE_pwEho1VMc>833E7?NppB;W?;5Yd=YoTtqn?%nrwv>@M0hw zOYls3Eow|`h0!|Pc}cnJ5)u>PALJAgEpQ*CE8}w+*!)jmGq~pMk~%4T2G~(6?RW4i ziGj=GPNaXurB6;R`lOty#Gy(XJt-h4y>q=id5O7ya`~-By1||Rp~B2xP=3eT7lrR= zTmqXTdYPBLQTX_o$D7P{b52(z4I8l&I^k)rz0nhb1Xn1g7sWsX){GhuLm_SFbPmsz zzdoc2{$ex`S)QD$HB?w(*a+Mc&Ru1nh!aVj%z5>4Lz9%J@|O10rg;FmFp#}7v@ldl zxdFBQ;A>(>eEf&yUXmtb55`FiWdeK%evISKnw^u4$nirb(QX=Oa8U)6OF{j9|iwz zIY3sUV)GHk7qEPsI@hMazY~MThreIj6Zluap>|?ahxU4Li70*Hy><5*8PAvTn_kR+)bMX%6I`e%9S#X=_&IgXf<;wc{WeWk7Hb@EO!mT2)Ri7uV|n^zjp z%qR45HqRHUQDvRH0V{N!{5gJ{liw{bDJa3cI{_o> z(ag6`TZByk7#ua6>_Ae`$@I zZ)af|oo{kMm6tDlCsh~%$TUB+0y`+OsDDI#qeT158a0KEXk=oJ~gG6#MdS~O6p zhspsnHGFk$pyz0K(D0<;rz?zGGO676UsEn024N;JcE;?I3OxLWk%1qjjOpXzU6Rwo z&(_^l+BZp7FK)G5ZgxV@YgZ7pBzZgEzj9ktxv(44Rcdycw z6^&*p#RnWA5{S#ao$W(u_+=wTHy==%q`T*>=jw zRro0G4Hwhwp0vWj+f%ZRS)u*!g!c*SPS7Ksp~~~HzwhmtDDo8)^R2gZn-}u|jxsS~ zFF7~N)5AUQWO!H-Xs|OFix;%Wnn==ycNnV-xI8%qWL{$tBk3QP7(e% z82LVK`sAd!wFPS}a4^H#pP8zrkJSG_H6a?pIF3is#^d>BMGZdhYFGwFSao{QFbX|xVU6f|M$HM6G11RcRr5TOxHr(t$Pf)t%3kG z6Yg^7^n}OiXV~&7aS}cO;*moM{W4}(k)ze=O777a{o26BZ#n^`7 zP(X*9{+amAxez%y`Hk}K$aO`NubpuWy8C*{G`x_H4jwN~ZQLkMX^dDwCESA&Q^~8H z;VZy%a56>L?sQO2MKzi35-RA)(sL*|Jx*$kl2=y=FpGIvi9pF|z6o(w+FBIdg2Fy^ zujuW7S^re@a8FEf0+fO1l2HXV#=P?BrHwNZ?$p24NIZbXI}OQKG5)In)quT#g8({C zeJXNczi;8M2OK?8G=TPT|J}(3`=bc>4R9L}So!%FhdihWVMNk26@_Tj22B^IS}n)z zDbEXJPsS2#w{xhR`MS^sM^O z6&XFyRL5O0+sW20k$Ff{aAjyk;n2tO&CA0u@^~2a-~}22k-+x5q`&G|8{6c<6~kgr zPRi@p80IgOd}?CBM99dvQsu;6NaX~rTYtuRgiihdQuFz`u?1uEZ!|_#>Q=rtxcru* zoOQ{)y`y{-y5R$L)W}hV#d$k!dIy?n+lvE7U^%H~KrE8nu)}+ZK&D% z&3>U73*Ae~TN)Oiu`Kt4_~>aZi>Fj!MSoxsf65{i-#l|KhZJCQ{**(cyDPpKt>rLM z9R#ubvU1~|=-!`7(H0+#xtB$2V1<9m;%0MnW1xQHI^C{><`nDWVBYSEU53hB^x0*L^! zmqp^C#lSmRTktAOl}Zh)&DcFS7&9z0uAmNH4!?0(K|z$Y^}EEqxODv~kFw6)dS%cb z2cx1&d}jcBCEdo<$sgf>ZQvdK787+dzvk|$v8Mi9x)*EYlLpo!*X77wa{T|)kHZLZhSwpRn@m!abQ_M;aKRB0=98zLSj)bljNPfl1 znA1kzQyoK{6-Fczp$Uq_mV$wqG%P?eTU;p+w@Xm~DpZMo$OVQYEn#S;5}I?LRhI}s7R2wH!mkiKmpEz_~1mFrPK^M`u&V2H2(KbMQ5U-|m@0J(f8HhQm z)K);xZuob(_s*%I3+U0DApZl0mO3=y(@kYHtdgz(aOt`7_Hqjj?|1{`8ypdSpqfh7 zh<|J=EljYky&i)88+A%peooypAL_+jPoQFd_vr4`@%o|F zE?IUevzo_KO22r1PR*MioHzSjnNNqb3*klb0v@P$#ef&#!<~sz}R^qI~p=@9{ z&X@%GQ3%B4kRb(m3T~$1fEM>oeOE5HZqp$RzcRWQVSlKpb`Jciq)vA?VZ6EbKkRMo z7vs6~uv3F@jZTmU;EtLN(_e#X-mSYkdw9tMM^#<9uJW9^ynKlLjxxrH??aP$%Mv{I zxC7HS%cZwNSzBBGMkpc1ouxc`TbM(Sgv5GB`PpMBGitZZ*I=(Cq)C_McY_?JO2G4HZ>c@T`rPcTp6oQw!& ztXc*&-2sBBx<@dhRU(*BjbQ%Tf?znyIn`DTim7qVMqTE(TT;x&K8pEY31%t?roD4& z3xb)V5lpo6zY$DmG*S^{2`iNU zBU15620l{B-q{%oyGzRE7QAj8-tS^Q^eY}4mq3UEzGyR zjs_mB;k3SXVrY*FEXc#^Ezsm}$*%<4X}_7p7<@?`XUz=9XGnXi72Czm?{aL3WCgt8s+O7L ziDJ+5M(Md|md2W0(&}h7G1&JZ4mNg4tTjswzNn*yD$|knk2=`n zNRGDa3ZhDHHYRAt9f9Is+9gejVYB`1ARUfj+q7E$y2r5~mW8uO*<+R$gglg{uau z_2#`-aS?Dm^cQo9US;zxj-xcmWyv)v)Obpp5?G(qF!_|%n`FfEPTDx6=ggFzEYB&F*CzpFQ7eS zZU3Zar8Pc!R4Wi=5eaOHh6S=IPM=#(G1=lZ z#EtQA-5;+b)p%U`jJga^&1NT;Lf4GL<@HX>P@lzNYZ2KT=DLN}X5x{F6>&LO?ZOSl zV^v?k7t&S>i_Pr-z4;FpU4$OLzT!lTPHzi^9>Iy@(EvYc=XBH@V}Kp;pW4y1t13@> zrSL?S09C@qo5fs%k`8Tl8E`v0kBSQ#`IN5Whm9yZHocwL4HMZoien z!VuQ^URd;2bvBdrt|n!;Y71*D#S1O$^1Mc)`Z_lAsN1QB13b*f5?-%*QVh~w#wEHV zjzs+HeT?E)0bC-f3AyaCf~~#LMBIT$r)np+RfoRY&=h*lj&zb30wp6)ieb2<7-2ed_d9lW!we*5N3LAydwbG3w z7T2@JZNXMO-r3@!$*EeC#v3h=Fi8Q1X(#Lld2!fM_SEe!8CicLRS;6YWCm-r(%fVg zWoy^W!>$JZ9^PnG#%adpxLRVR#9n<_@S*^^T7w0UDVM=#tw{lIul$`(#v@=aBLZRX zYIB0Gvq<$*gYtMlF9igK23Un6O1Xayjt+xf3be7!QqW?e$llov3}dpf_;}Z%uE;h^ z%Qk%kWvq!V)-?2CwAS;Q=wcV|g}+<)0oty8)ZB)Fq>lA+1j>*ctsh4pTfzwe%vgu~8&(CMrQG-Z?&r6^)Nt zD_kw9_2xFB)|h6icma&vgiTbg*7`rbdHzq|WNM0V+H2pOYw=Booe$(aQ1m7CC1%>{ zW}z%n3(<6z#s(_1kV5+`oPC~EUe~ZFyA@0!$V~+~=wzXM= zu^P&7rlwV{NBa2sA%$5bE-O^fBn`$nEZ;lJI;d!O3D<2%T@Tz*43lTMUmbj1Tp;$B znmV(1n+>)j`&+MyVX9zx(YoE9IpY4LJxJ@*buJ1i#I^XYX!;K|EDEJpl|gQvOq$~5 ziU&&A^IaQkSXfN9Hz+z! zCi45y%_Y$*fClBXQ@{)9ZlgMmAj^b_%}Hfx)^xlAPBJ$rSKXO5Tu5n9WcRGZIUi_nmmYJh@B7O!Esuuos0lZvI_O(0uazZQ1~h&{0jc_fa`#p0C^|Z zStq8AOp{`}viQy)G;`Z~LHuYb@3x10@R!@eBI8PNh*%bs9(*D}n%tGe&e+`?gf?kV z#=8^6C~Yj^DVsg?S4V@bA_-TU^rs4j+Tx z{;U}$7`;ML8|`)ppVS&Nfd}Wz42Ewa^P}Kj?}JztYix|j4@O8<6NT()hHwZS zoI_-jKt#HwIXJH&9jfC!$_no>ZO~8!UPGgk0oa-F=Kz)h-vHQ^Cb8};JLGY2O12>u z*)EfYb!TaOvGi1T)^2b&e~$-aAbOLXjkMmSidyk4<%_RSWQ=wULW=V$IeW}IBa58M z=S{7UTRL8Sb!Tn*ZFeV?g%k$mjRjc-7si%g=GXS6K{>m;N|Mu@WYDS}7~lb-$gY*z z_h7wZ-f+^MH*HGZ#IO7cpl-OfPnz9>S>pF$Ta`0CP{3~pTJKSQ#Uf_f8Gb`A{Qh-m zT@N;mpD*b%SO-(GH+KC6oWe?7Ggv~;3(7I)>PAx0cFLd}Z-p;sHtlH)LW-}Qxne(B zXT3&s3@n!+f6mKb6WUW)fQ1KG^a!wXYS%ma95*u954_>5^3yI{$2-&$mkHtC=*b2| zo~H)GRaM&7o;c*Z(s7?-Ko)j@*yfdzvp1W;>?@_IeOMd9pYOv8SlTC!FZv*X;Siep zaGGO5U-l2CJEM%*Ek)cRR!f*)LJSrY<_u^u5efmnQWaO~0~VIy@Rhg4=xz z8%gdfV_2tX9lF4@vwZkjWx0!!yL-+eLr3)(mcScwkwtbF$IoNgJf5}NBTX33cJ-lr z=^m=CP$=PKlZt)pM7-p7(uNAf(ZxmrF0XWWDsXb6yGY^$_MPr5Iz)N_eBw)H>Tr;m9)nB%YhOrg3fsrt_Bg(n!g}(-dC27mVCi1T^9XyBZNy&PR2Iip zxux|}Sy5axYH$!kK}DLb>Cg-THv?`-x+8oV3+IDlkbsI2v)|FFgncX+bXllFmgBoe z*{3|4lkRx_F$QzJsacL&e_?I-a92Gu?f#ybmevtC+RVZ?ZMx!{96$!37a$vum+km& z4*P+H|8|_2?8lgihsz&#YMN?DvPxEK*$tXp!k9BrOsZ}7IQ z4a{`v%>OxT{=fL!qae%7slIKO{WUIPM&X?FP>|l4tm6zEYLYqO? zm?;D>7EpPWnMS}Je$BCXKJ%cPvK)&SvcbIV<=>e}_|*r0@%u-^?GH$45f@1FpKb)w z+`ZxZ{uJDQ`ThTy?tj5Yncn#?A3X72!v2>G?iHTmhuv^2dzGy;B@tO=^u}Zf&;h>h zg`tG7AK{(?{%vo^n18Sa7Wo;FzXRp~QjuVCZ-@8}^9Yeq2rvTb(ENAsm9DpA!culc zXx|oy0QeoL&jWS?wgT1y7WH;aTEV*LEW4556ToYLrvQ@yg@7J_cz{&=DQhq7e~X1X z>ONrEhVT>kdKDs0XytIUS<9vh;Z&fP5YUW>RauS&|Af)^XbPk;kd26OfHL@{Deo|J z`)6#i(B6WujsO}fsc>oB{E7@-0{&)}-e(Ig+-soh1XJ=PX>8kljaTQV<}(H3OyqN&syElBF88Dc;S@jzhcHFMN190*3%{0mXeB z`}QzV7fuDGLQn-zkjMjUBhQ}g?b!DPTOb5Ki==Y^WxX8*hgh({bXn4bZ`fhRe^ocFn)k-! zIKq#B^RS#8$F}d;FGA!&q+ZmNG>{wG`vKD@uXZ1rTg>rw2MdJr`X2rVbaqb}rTwV6wWSCTS)ultz+ROuvI3^e( zf^&y}0#LWSTt}By!t>0w;%|bf_j7-SZ(jT}{-5#x5An99|6Ty8Pqgr~p%^9YnC;jS zE%X!&RP?f3$Cb81xDZDO?qdw(ILH;;d0bk+ZXQ?MH)e7fzgq1`!7-7HgY}|gwjUr)yj>QaibRR8j z5y*3PoDj~lR(8jY@j{%AnT9%oCkf3uHff*3G)-8>M|bi3PqLD>l?a!zt2BZu^%Gnc zo&?TBQK0@0di&_4ExF{d^2vW8O6j}lLYp=fT8!%dy1%BBZsq}BobA8HIXcY{3_SaK zn$-PKp{LFMp$HVURlxSQYQOqbv1OgBID!3XbgCOu$0 z;2prLfX4tx%H;xd$TA(@>frC;&tp)dBt9W@4?2^}?)`Gy zqgf1yc&33m_Aa zebeE5U058_CVv=j+KU`07gSCA%OB=gwp74ss30680;+twM>xJ%CnSbQhpL1~DcUYf zkcRFO%+hMRkmNXE7fuz7+l%PGa^_e76CxX0PGhsjG95fJz^5DXa)#`W7yQjYC*)3zx!55Jr^=xbSkN2%{DbxHJZzMHsc# zA`?9HA(L)CF0{?B07?Z9xb)p}gi)RWm*Us^KMS}B;&vd6dPH+2JOLe&_%(v4*a4T4 z)gg@9O>^m2<89{=M7aiB>f@^jYuRiFg8dI){|8D(SvMn$_(s5`)+9-eN*-|G<;*Yd~jbHzZ$0Rjb>2>%p6PGKeR&jbVtWQBhYfSQ!z%iwk;`hUUutogW@CW*z zXyM3z;C1(LoA!gp?gwc23E-yz^kW3HZXj`;{8Xs(h${vU2o#W(Rl7C(l`C_ zl!lgs6~HNu@^~Nq*f2U@ZdH1I$QF8SH_7KOj)zgYbV1pg00rPaXjt z7zMP(Y>A&h+-U%@b4s6wF1`vpFbZV&ulB`l+Cab^1gHSwY}y})Mh76SCQbi~5baoX zM3`yPX60wmJ?HyN!N-N}1y=YsX9}hRfTKPAkcG2!_&)%|0?r_gX6QPk9s6wGXJ06N zY}%~9K2GxF=XfUo;Y3yuq2I-Q4q5WXJ|_|N=yB5W?8cb4Oal(}?b1649yQzswQcsq2W2{L|k}Hli!g zB;Y>+UP=-2vH$;fUu6Hkw}D8kOr&M9zM=jiG3+hfSNc*Pqy1AE6C%<=`L#<)slU0H zMlvNP&2%z0y+mSErgC{3A*Stw7?MAL)iWse|ZO7k}Cj5%E!Y0`p>!4ZuLsdDq5FxjB62i z!t@;BP2dmH&l1kosuq%kIYOq)tjds?WLVK1zWp4!l+om*7}Ur z81hKW5+dqO>pqw{jpj*}<=>ltH?grg5{QkD#qRu!N&X{@oNeZ*Wz1B|xofscVh0=7<(A<25 z3Z>_wp}GEYV=E6@$${pJrb>QU1Ab|U`X>hlU)vO6cjup+fRvszePHTnzi6~?itGh^ zqAcA>e|aZHx=3bkNR(qe$t&SR86JtSq%~7`Lin-3FU>vKaM6Gg43*7&Jacj&`dSk5 z(zxrSq2n7e*L#RqPofQTDP0$(G&fxecueCp_LtNDdj%p{~9gE5a)mJCd$;j|%>BPN03ogCkFj5tqOG_)iH>`G;|v z_?O=r3(rR7{jF1kRDly%X*oF7G~|&)o~gD-48v4`x_7^moDC4mbVk}WWCNvNjJ+bX zU-a9(Gd&Al2U<4BAnY$suda16K_g(uSy{iOvYJRblE`ap zRZ7{J;!YMOjk@+oJRm@NBJ|Fl{B_Z&u2Q1-}98dIg)-*w+4u zRvn~qhSYCi5YMdsnbQ~ojhi3evbH*7!0S;3ALG>BnMm_QGMxH=t$hv0bQ=**=SI5I z;M4j{w8`)v+oT(B(?$)4cA^I)JKBWS5Srg#j(*>%5{boae#|-2WzQMvq~q*|Jn#(I zX4}9~x5}I~J(N_zSKB3)@KxWcq9Hp%G3ZVpN{gfvR249dDT zK%to^?Ke5JEJ-O#bo_G9G;+ep?P>RRzgh z5>oS|oy;8w4Tn7v?__%egcLG9Ht3*yD>5t7?F?v*#nXtbHQ2*sq?ZJHRC&`&(o3Ax zDqniZ-s<#{({SuIK;ZVmorYt#r(do#LZI4P%Ov|sB)clv>)0OZAxlak zT;?ObM-Sz*r4e;$UWwr$KyEht*P&|oTarr>c~*H(ZEGG3c(g&{yG!yrXe~QrF5T8% zyPf#1&`Ehnp|cKj#wk$Dj7H}SWbw^Q|0O`vt5iN$ppC;BfU#rjCALiWhJqugtiOzOLMAj*`Dgu z1=nm((i#=M)`5>Xes}vV+uvZN?oK)2Es+bncbeJxJzc%wHQNu3^FIqb7I=VY5;KAa zM3aE1703Yb*Kg4Rg4uw%Po7HpO_i6yuf@B&J&tjqd@HqnSVIa}DNWU>VY(lx$t=;= zc%-z{3@M(5wTU$cIbT{GJFLEZz5UnD^pGcVz>qp@h~`JMTGg;&V0pK58xbEbjLg9@EDOF$)>ph7}r zRez{)Ed*~>-DlP<__V9kE44eo)s(Zx!bZq~EEi)ThgdEJ{W(|8aIf5+p-7P+4dx%K! z(Ij_WUBh6Ovu+t1@(fJ1F`{SS$F@2z=Vru|z*h5qXROQCevIZPthcz8vFKPLeJ{>f z?3ad&MEXIz;?iROt1=-f{!y7FCVooAqp}LC3SAK#anI#|l3FboUGeDBxR^z86RC^h z0scyCZak^NZlSsEk9!7&{qgAgqlx&AcrlehS&W^S;EZss&o*f+g#V}~&G=DIx-qk! zwPe+Q)H8+osAn28quyL^w0zXVGTHUh>q$LR&(*8zHT6lX7C1UaU!PoWs84H5sh`@I zTJJ-Nv&PWp;N0Y~nN67U#MqjJ9$JJR`tB&>;sS~cMEmFWNF}wx^Sw#LZk{DP*kTaQ z%eH{_*)-82xR4_oIo6L7-XXB)J-a#3(u7rOtNG_GZ#us?*M3pjhjt|BY$DR;Q8mh3 zH%iMU(#=ZjrqPjArn-@eh5$_yMoo3+WpQ6az;ii%e`6o3i(8ql<4%33i*H0fn(FAk zHbN|>I$cRa;K3I6rGiD>=3fkw3il<4&2dS+#(k;I{pR3Px6cZ`F_`K3ui%?~>4xm! zF9wq;-t0SM$O``0nGyVz(-?fvnHv0o(-2%;rVnznLEW2ENB^}E&Fooq*O&Yoh79B!efF!~H1AIOF-;OXA1N`?9Pl5k7{51F{NA&2K zHpGmG9UswJ(%~ONJOh3c{7m?-C|JKxet(AA)KhG+^lwCZ4kccrNxvVzM0{3^M|YxB9`GITE%5JD((h1y zw*qDZYzxX&7ZoA&%@LJl5&Vsy@7QrILhDD;EZ4zbLwmzoV|ElHR6ep)SPH)c zX)4EZgl!qv{Wf!vGQv}IhBAd9Qn$!2L4>c*TTOB`BtRgj8HCUUgPj0G!uPW z1wR`&+u%=uAAp|@zZQN9{Jrp#;O~R4hQA*^1OEX0(U{Rv4}U0z9;!Nw&_JxAt`YkZ zmF027z5u*#$Bz)Y7$cS^;eQJM2z)VyS+L1|X>qz1v2!Q(mwi9PD7;*8snW&>?wlkp z$q)-&3H`wWUNjO*cHk2@8(i}w#Dv%vN^C1aD!2@|TDXe}^Z-IMryuUO3S=ol^Twe| z;InX1C51;QqJ(IU>_CSS&PDi?5~7sfRYJETQ~~$C68j-S*D0Zk2rW}W>k+!7ged*l zN{B&7R6=P8(bBTv)Z#Zpft9Z4Ml0 z;g@1^a7A#7;Y!BiG=~Q68aUc+ikF_K*JPjDa|lP!_l3%M%u#-E<_nkt41QO@&Akd{ z3Vg-8{`v^U4?8i(XBf>(!wE_D<#1xoM}`++rhYn{nE8JjPR#uC!-<*y$*}zro0l!n zx!(;Ko%s#T|dD^U$`0}vhQqvBK z_u}yN3f>C}{JCMzz)yBi{AZQ;lZYQz=J$_=XDRdhF$MlGsL|Q{5PS>#2ZxsmHu!so zwH7*mzmJ-$sum&iz%UWNCl(2d5x#pkTUY}Bu3^?f=k)er$K|vgbXI>G`RSbA40?1% ze-l2P(Hj9@2LBesZ-BoR^y&OwjX0g%E8$nd7lsov+KKpD#BW6Ti5a~VEikX4GkOX7 zz6!n#ICMtO8&1qnE8>R{&mT_AOdffebUHIitYD>If1)A>usRc?D_P;FmZ{QXe6!WA`ueK~ZU68<@OWtkFEBh;dV3<#M5l%EzW zpgm{GBQ$lG71(gsj?_ z{6arI_q(!KC{brxUs_k%rW80zk=A=TTn*exIGRpNPJ){#k>V%nO)2EU<-^g|(U#F1 z*TK;`C!mxjh1%e*hokuuy-s`B6gZ{yfdk=pmCyv$03eC6^&ylP+w}-FO=2}Gp(eo6 z;f^UWIs$J@hG@ShM*Tg6dM0y5mC%cTt%0MXzZdQbSG_I&dx>-&SXWD=2`M#?UmYf7 zeox#}+Y}HC!c2iYwn0mR3{QHyNwx00{7Sv61iRnlHkl*X{W|KF(%rALgYJGCcJRxB zEg#4RBblwLUnUyntAfV)Owcs{x{&G!v=w^N+ri9mk=|Nz@EgS%w!2Lxyh=7Vpv7`K-;Tp|W^Ept_rvAL8uFTX)j_}hPok<~GA44d7)^I>3KdCEA?$n5Sz)&Ck zZ~Am;-Cg&YFSmTN>~xp92|V&cx-M+JmFVX8$Q};o+IW&NJ4N_QkN%B=EgP~a63#Ac&bID^%#pYUUsE1P;X`cA%w<_ z&>8g)QLR=yy7)J=-{lgidTn3zf#V4t<-&X~CQ2xq?@W^t7QOXaR6i9kMHwuB6i3WXP^i zxoL==DO6HfEDl%ePuP=fVlOY zL2+xo{+&Kfd%?cHrrmC^&nvMo$rb7ANez)^F%R4ANgCzsO^T#;R+>fj*(=8(0YC^b zd_hF=DG^z>t^^L61A@O{HHEWOmrw#N2cUs?e3lL{nl86aC27Kx;IxXCmSUmG#|#=B zjC9>FDM%F^*-9+eCooccsOB5bVwY40jTYhM_B+j9U#s(rF1_1U>h&ho9i2Sk{n$cz zd}@)U3bX7B{VNCQKS zKEAZk+cY?J1*OvnI{tP_gVLJcGv*<)4-08Rfa+=7k7C@e6_yB0u3Mn>{pAp9OK_G6 z(G;tTp*6JE6;r4xRN!WMJBn1O=kg+^H&FDOmP+I~F+^r3i%c#zXkWuLGSUIi;K)`p zu?~dpGt-<8I=@0q7}0LN(`=%9#=@b{oo0&vQi~lFo>of53C01c442C`vQIM74;5&I zU^k;K#09*XVJ6q2)U$So;oC2c{qQ*2L~61Kw~`dDsfM+mQY<{N8UJcz=cPhWUJ^iL?!FnjrG8VlyPOO(h%n`dP zv)g)zv9KK7L*zmOGZuYplvpo@as|es0n8+806RFkyuAPv=sBOB_c`}UU#=8qS9(|a z*pihAenT3Oet$y0>S^zve0TNh*+0R@9RguX{^ULDvqx%;XW>(49|(BQ(p<=6Y)z@~ zZnj9@w>NASxA9Vxi16(Z)<}KoRM3gpBaQ?dItp>Ka%M(eTkG^yW?$)mztrrVw#vTP z?8}!J&g?b&$}dazzEVO%r4cq`x_7#7!a1b?OT*3qvUA+uJnpZZ?meWNJ~Q2$F;neM z_omO(c}+g56)|~j?HtG214@}w-K;?Pq?#!eKWb4GCI{7pNkMI)HkjSa6f!}!a9W6+ zGqqDKvU4&!Q{3(vt}wML*ba$5||J(ih)Mb!6D%C!Jrr~XWre3wf zxnrv@H#L)ar(f$ViDYEH9e{RN+Ji;&#ZdJr+R!=s#emfn8#JmltrG*e!E{Qyw|^1MIL`$>xtaFTlLKQ{ijm_ zEvSfeo09q%mHKgAAFG1a%U~E*4SOPyySc|fw?rkS89b3&W04KIwv{HOaJ zS=^4({d>969f!49Y3KuIY2u@_v57fw9m8Np?#jk-Hkra zD#dRtxBqTdQn9D+e!E{_Z(POR!{izlJMWq9%SwIcp40u>(%CG2^JkYd$Wy#onvK3G zsZ>`BSQ-2RnpOY}>$FND&sg}J@BM!5s^zJkNb+|Onp5hpi0CVZTPh-KZkKH6@I=hj z&PWnV4m-W7UB4UW4b{${3Yi$q%ng9#xgsiEgRBqyu3s<&XdaAYKu+mcQtZH(X~xGa z1!I;R%n{!^poUJgcFXEWMtVSAZfuZm3}hL_Z z8sV2ARFjBxoKW4|wIf7&SgvcsnMU@vWkQQsI#$`1?rM_P5u8`0wwS4n!P!GSW@JW|Z8D$_F--zijXQ_;)dczYZk`sDF%-^`SgU~rl+gIE=r-D&-54DX+E0-^^GIL5)=(WUacO z%Bl&ftz1xx`%r^ez(aQl?YIh5N=K`q`x=XCV#L}Xq9sfTwxi9BUY1kg{EPh)%E1}e z`YFoMx{SsDSQ6?<+k(0)bsm>Lq~N@K{IPk$0^wz0mGFVgRi4AT2p_x5|J`pviJyQK|4{CoEo~YryN)109BGw;-R$^r>M6I_Y zKhDY41EDGIo2t1!hU-b=f@*Qf%Ag=b*rd|3^IfH7#?C3YU&X3o$q?V@3wTxxeD}43 zGHKctj)#vqk9QrzPHP6gCH!u`V+UKv233}&{@cS^ODsGwmLw4GfD3?8AM>{sRd}}- z-PED3xU}p#Q+Hzx0oZee)qj*B&Zg}V%>>y!}#dU*d(B<$TD z&?a>Q8+ULM*evq<+r8V#c#3W^g&R)^XcBq&$rR0aO2>GLaWX|ckw>lM!9C1GIa(!! z?h_~4M%Tz`LefvwBsaLR0%w(^cjS5X=jE-nN5K26RTa{(U)PsM-u^ntJ17&lWJ zg(8K<&tl7vo{sbfW9djAl(poKp(8S5vYBb*HVw zE>=9+$1s_`XE@czst1zW-maP3T-1E3hG~AkrdX&Blfo}U9RIEG6*)og!QycSvXZsW z?-?m41)fM~qgL35RmEYMN>_0IAz^Bee+sA=au0QrYrg#%2ToO5c3$LN>D zNIl&F)6su&n2x?i){vf%T{zyyq~)9=F-|_-NA@sXv-$rCGkFfd<04PTqfZS}p2$G1 z-NPCDXtX!3A-@kjja6qIy{{|^K$@=$2EZ2vg)jd2FML5nk5B`ysM-Fx!WG9c<~nkG z%k5%~!WA^mBF^&9hXDasPzVo*hJT7eFlzuozaPi#Wqp{OL>b@?O_j&(*nQJ;HFX#0oAK>?#JkRKm zbj%0vNv=cTp=HBqE~A^pJ$D224SL^Uj{TTe6T?sH zg=Yl1(rbk0g&D!~vf;1?tJXZC5_@Mifib)nizk!)0@NLK6y4T zg*}lCJh=BBd*rtp`ookbmJTzMJn`s|5q&j+yXsFu#fMG^EXP2X9FNuxr2sAg{s+1$ zkS(56@r0}+dvYc){0hc-V7#SZbg0o!3qKFd5dYZhM7vF(^35R=sC)#RS3&{dVVMnV z5=wBhTIpiIV|NVI)L?vWv%St(D}x8bLX5ke%k6%tSA)$|YUf_ey?T}e*P3xo6OA98 zk^^?T`MR0)PGe^j7B1@{hXA`u7HRr;4GVcReT!RgZ8tex+y7hPJ$fUo!;SD7(WUSn zjkAceyf^IH-T~gD5F;RJ{_B7QaB~g_c&`q;w>o@EE^fM3JSeLI2hoOuG8X_3k=2+9 z(;qmaYX-@EU$nG4zr=oJTf?qGl zO#Pg38Fnq~Ek>h1$I1RrA!fnSb0||H^-bdvEExU{_M{UMAuBYY5r{{#p%EC3)*;_p zA-Wn)19zs$(*XNEV8=p~W3vHM%h`b41K3NUzx0p{lJ|AgKSTC`Q>PbM!Kqcj!=jzD zfJ?RihD&w7druD7?B*5V(ll@>T{G8#PXQh0Q?8Haw0*pmh^qxZ`=7h$94M`~3YWG-}= z*kd`ccM7Dyh6j|so+T`W7J4+=IY#f=mkhnD(6Uh<@p(fRL91|x6JJ2AU`PWiPM-W6 zyW5|)42Eb<@Udew+WOWw?Q$)w_tK>}>F6WOM=h{2%V(j7MoGIegHns#+0mluB|7u# zl`{e@oSy2a=U`USeZf!VuayeI*M)iF?&kGEqLfct48e)?AIO@)z=0_QbA?QC87Nypd54lyJs7xmf@)uAQzVJt6s%yN4du9N zI}ZP#4R$M!s17#qkUNF2_3#Yr_m4$wW1a!t{wn4#wOyGC+ZD@AkIK`C`b8`H?r)<= zvAd8m0r}JD&y5sjyb2Z^rP6T}79>PDKfa1HmuFz_j#p*Y$XZ^NHAV)%2J$NS<5fA8 zxLGZqRPm~;N6e_$!QhrtW)!=ZFJT+`b6ML2EfdvC7ZYV%vjjS-MA|2xm)8a8=qASY z(@_?qC&-Dhby6Sk8)Z7SH^{~5`<>jmc)ktV=_T@g=85sWtc>p{Y-{Kk6Uly@mX+Yc zwer<`cx;phy{U474>PEbc&beKFj-FU;m<*Z^5M+m2|o0 zy9&Q*R0GVn<5#dY(lfHsrmOL88hsgfuU&;FjN?%~Va6f7lim+O`+mq@UR&!gx3#i$ z6?N=@<7R!`M|HU+kJQa8$t^J)$}OpYdj#%xaMMfJz}|;*2gv5V`;s1F_G08=p9Z&AK5xZI3(;9jt!E9p3c!|ODwE^r{Ar4Z!oa+1z5t) zgH_QZbfmX?B;qB#@ADglS#DAvkA~t{124ySXvD>)VmXt4$f*iZE29SI<*o*&1$RuN z(d%Qd+!Qq8QrT$Smu)xa%9+Ll#zrBG}S7_ zcSCL_jt$A_}nc)`a=H$(66{ZKr5bd;5Ti@9Ig z0=E|!cTkHi)9fF(8RTN5X|ac~nAEluc^1ZI3 z)iktB-sxs?ON;J@z2}WMWuFY)i{2ZJ{&(y+c5n^V?lO;Lf@b*)n-ao4%e&R`7EWX@ z4Bgp4W2U?_xqB_gc!Nk|8*w@qi#|E@?a8zn%SK@TV91McnIGJ86XC@wbtwbesz=2& ztCk7o#hct4P;zXj;o(AIZ_91ixx79?v2S*D_+|!K*jSMI^)9>9TFOe^Ve%9!eQ)Sy zH!FR2n3al$11(#u#&cWvSz@`1%Z1GnW3a`eheuZ3^g)=FT*&K2UNNl4-dt~KiuGM$ zVj09{!|sn1K-wpPFCLZkBwf_!HO2ZbsgTO{*%-Pzq*zG`mR`Y1CbUP`#w$I-DLv9) zIm4@z_W#)O2wFRBNUT2Ye7KWh&uRF-VH4b6?(##Hk4{BDd`)I}-aq>H6qoiz-iG*) zt*rq(lOFrHhcznaAfzWN`rAs}9j{9#Jmqf{97Hw0E))9P&DGxp-;njI-Q~_zge*jO z%^RC*+Ki7`>#(W-FliC{G`td*!5up)b(Y6RgA^ z$%guma2L>61wQpZSaGomdK6-*W~9#{`M(ElUN*u=$KvN@vKP8*J$NP=nBV@dab9j7 zs#AFRj*xFxf{*(YK3-7xMUe9H-QeZB!OJ7jH^(M;c?WoTBzkPj*t}n68;s&zO8k{E zT{Fw8$73%bRmC^>8Jw2c`pxbtS7}kHtyU)W7UXRl`-aO0ejmZP;WmZeN1~_4HYohQ z#YLp|u)}*bRHg9yH;28hO#W9;8*c?lysN8Ug=+dskesbWC% z(~MmxMXMHJ zE-V$k1|GOq=68tI*g4(Nb6oL_Wa44YTwjAP**7B>3)j_H$F(Q&NqaOSG4ajYC*T@Ngy z>q2#-4R)I`O6kkax5EY(3vMLR<+!cQi!uLj=;Iy^cg2_F{jfqvSTo?vka2|%Qu5-B0=LYW}1=d^L~atq`ocoS+x%xVz{2mN2l| z0tcvgWBW0A5k_f_WBpR-QV@Sa-bRke+l_cf$)a;L^)d@}!6)PgjmPAJyd%O|D1-@7 zt7!%f1q+UK&|f&Nhm^$rY%I%ioogg|?bs4mmL&@#V;fuSfkZAVhW(>DRCII!QumB5 zIm(H}N9Q8^!0580g)WMD&!{T*8uv1c&a-0$6-!sITW)PG@*VZ=^x1^JdW*#+kY!>O z!HH(>(9$}y$HZH&f6-|>suon>xHBv`h|z_%LVD0s!kJuCUW3IbXvIp%)6wWxW0c<> zK^S;nAB~2`80qcUER;5TED7Z^5<8@IYh77Ij8rH?PGr~wmP!c6NOa*_ur;7^VO&0} zy$ix&(|4=Bd)MM?C*;IhkJG(+(`v_R4`3zh*U~Y$f|tO$f|J0Qr$V3kA%BE*ljBA6 z5z%gDYxK=cL^AEjO z*XrbgMBHj#Yi8$M>|*CI!3Wwn@%A@IqpMAaK0_CrmBfj!g~LTWd{HT*=` zzm!h}hcLdB8r#>B=Cfy4)hwH-n=`Vo6b~ z;9JI^!4GkRLw@Fg3$HV~f>^n4Av=sm{fC-Y zX9`a6WKJyfgzQDVt9aN5@C}>m$QNN4OyND6xb2-DRlP@0Ehq}zvE!J$l`whE?9El) zn#8PqdBl3+%8Z=_I!i$3TBZG2?mFmxpO8)L$8vTC?GYm&DS+^RSQLopeL^-PHdBf5 zRT}qff6^>n9kluZF>uY6Yj)7nhgL2OOW^gjYpWbPcRH%@UW7%n680Fq4%J#YukwJC z3E}M=yW7kb4&eO<%0GLn59J)rdC19}rF+EF+X6KVcG}o2TA-KHxy>K6FxKG^)qB#@ zcpCJZ8uU`R4E=2lwRroMG z=(SZl%tJwY4sKg{|BeCL>(};$>Di+Wr;ZdK5$`lxYZh8#!P{{{Bl&-l&HmX!<2P_h zw3@mWTBib@6^zeY)Y;L(Scv3|Z;Ftlxxu!+KpNM{2zNBZqd8-y6W7RD^;^w<4ZoCq zMkYLOWjeWnsUj)J61Bah1m_QV^_+Rl6Vw)1qv!`%6iK>dQV;C<`^;^9BrP8` z<8d$7*0&&y3;n{$25H_e!{o?@GN$tv&gr2OeaUHQ!C&C+h^83Mf&wA+o=BZ{HmD^h zdm={Pe}`$BQoEYZ3a<|qi!On-*w97L2OVSe&GX!4606pg8s&h2_6Qeb1S|UD$Y^o1 zN7|G`^Jj<@CmMdS&q1=S>{*w@>U83ZeN~8YXB{?|#OPqNH-vI{>{6lsBS-9JQvYOF zZDIT;VE?DWn?rS8TB9@lwL}Gd`dtwnb4bgCDCHNmNgwNQ+JOW>+^EJ40eqcO?{{|+ z{?>5roMp>zT(Nr(6|)`RCc3%vZur`5-yj6%=86>Ip%(2bi!Zx$ESeeTeQLM0l=mhq z!Eg{z?7+wd0|^u}R7U3z>xgoOqP&B1ANpZ0P9+cEo!)rzIiaxH>SLr|4{1nh=sVSX zO=a_iU7uMQX$7MS>Tx@k2B{q9ZxXrLJH@m@6~>38tqOX?p6c4yof=K|6LLxuFWE_? zu2z_{-&J;9X!G|rmMspE$M~8Cfu^7au+$>gz+$rjHenhjpPZa7YmH1V#;@+4W+EBK zI3d0u8z)~IxaU4I=Jr?v-s0lKg<`|t9!Gp>a%hh^W#Qkt=xw82qw8MYoWM0T$N7$y zT45$ehap4C{lSUh4Q1vaPS}3y{>EywGU?Q=5447m9&Y|cx^R!~%{?}~KRLDO>Id@QdP07It9d&82EYon;8RiA~>dM!Pw722J&R1RV z@xH?5y)|odG9puFaluNF9BOh-KUX0VY5tg6V655ad}dpa_tjRv=)B?VUCkGK@o49$ znqZ#KPY(Lbxk$qvYglU2h@2u{w0tbg@@R<AF&H72!`qu1K5W`42-caE-uW^@!KdGr?Ao^2Uqkmn|V5PNLP#r+0l;$Vw|% zO>hA$i*$1|!DGUo%QV4wz8xG=DN93r)QCx|ei}LSLJ!z!Q0PZ;IoEvg{ zugz%(1<(`DACDxFbxU30uJvvZ!DZp#teW=1S2 zGlbTfQ|5fxMQZFCRd>9Qqp9^}bFrmx6IZwwuW*8T;V52(e$ zYEt-QD7E=roNVX_OV1_7H@=Az47u1*-?tRjf+9olT{JLH{Afmk&c_tVvi z9+VxWYyE9wrnWoHueNNR-`BMjqsUqH&>SZddFS7&s2|X#cN1PMYI#n%OQ5=)>!8P> zmWi?;}!wd;@mfq~UA>fCv9HgHgn3{FS`6?tb(I!TP4|(aTaT`_!wTdgSIRl^^U2@ ztPsAcKp-uZ+O~!gb=reEWrX-#VnJ)ARbwCbsF~zk?8n9$s;jCycEKK!=blTUB+c-{MQ#aiQ)-r>-+mn{4#r z7Z@!PVwIWZZ%q+S1!{eqz!c_S9`3Dv2y@rc#@49jaG~05>CJ%}4Kq!=;AIZI=u9~m z*fve1{b?UzAPuyz(^7T8(x|%5sXB}IopI9ew>Qo>(9-Jc?Y!Wn^Yu)O^iDDIRqB74 zaekahjIFluyJ1+9K$~T3AB`@=dx!MAauNF)>v$>?i!O)UCzM)yLRVamIIN+zxpUaUDV@nT(VdgJcOSdv5Z*@K zqX{N8yK@e;tf_@fPqRuSmZ5N&oX6*S{q3~9-{b{-;3{);EYH2Z1a1f<&QRLNoo*sMh8o4&1{KbtA*6Y_Z6#!v9R%?xniTZ!x1y`)z1o&PE*?K z7ccHIO6h5(AwSLmx_qB#)0TGQCM4E)H>2;ln=usLLsEVB9FUU(2V^tcOt>7lS%E@< z>SyamYO&VRb!B^;JVjT{TA6ErVf7KW5R`2VL^?dik>w%s6kWN_c-JVo`bY8dR;@xd#na4Mx@e$n=DOI$n?5VsDcE!ZT;NG z3VN%mAob!={qXT-(yK|{6j?u~5VKp;t7mI#u=786v{$2tK%=jnRvMq7Jhp2*7C3&iKEHTE<_Y=?Ul&G?Ht~l#`gNoOcig{1OBV=C zwzX)HveLB08hqE6+DaR|XR+g{&3<(TcIQSif6u`8UL$;Uc&wFviGxUggiOm0{l1xw zPa4K2(f_6qQova=F#^e!&wr>u+%B>Y2uwbo`+^UL9gB3~)H$xI&sE7hflR$;_18 ztVY~>#_~ZS8KLzti$MLNA^+=~bx9~0Im$+ebz#W(lg!+dzO@Y3mjaRCh&?M;5~jc| z@kHFo98vE`c}jJp&bcTkg2xtiTLcDk>hHr0{CLzn#E^_2h1@p6JiTraBWgsQNUU6_ zvStBp?Wc(xKMOZ-y79dfFl%7Z8DgGFIdW(lcFG}wIg|2~5k2^mQCb>p3Eo+@{tc}q z(l1BPkM~DHPUfSRQ$t4|cnCb<(xfV*4gO^8HP2VaqZOk%?7tun$4C8wvg)RS+CZpa z+r?e9O&53a-?QG|a0muF_lrJaXr#ZX7w@+U7I5#zQQU+MHQo!!axWyyeUL2o;cbk2 zF5W|F^5CSzO33>XH+5fc-_iOb*b7w)QyRyj_r^I=5z2ssyhf3bQ!qjg;0Du)5u!F4 zi;=!Or2gqG&C;$8yg{YSFH&U9iea^o)w~e0^Kuv|n(5u_e5RAlpDpHtauP-jv&xdx znEa!7w0eXi)6r{5=%*gYW;V#pV}t3UHa|_I^0Nr(`q2F5gybneOckP1kcC{GiW^(H z-ckMvSh=p`mF{M|HFA!wXlyU$$zZ@w@NJ_-*y-(as)BCwp_V{lv@1|_23jRTc3~fm zll>|pLU}2tLvC}yy-qpAx@>Yf@t5!N5#7dZ*e*bK$Q)s678WwW+i|k8n{h5Hc)?3Y zpQmzPnE~9$x2krxGcVHFumQX)!wxMT-7$2u`h#88X3i>w+}bZcR^xjVZtcj&Rn=6+ zMKR*wilGMYU%?l>*)0OUTvDFdlU`T86L{e0o zgzt*jmZG&CGdo%~taIn&Ck=$Y&u>^qa*4Rs{E(CFY`mSf4uy1uZ0CmC-DV>4eB-*c zX5E~@uHEgV^~t8uX#S8-7>T|dAB#RaIt|}8pr?`)`X!!1wyU9$bOA3*~&~M zfxR{xI`k0qfOb09anI}>iB^t`MBj?VqN`z#5yLxC87@1l!=dSCN22^_n%grl;?)IG zv8%(cl7_W;jlmU*-Z*Ng;K8Y}=+aTWo7$8oVz$wE^wzPlFkZ_e$FTpTcf`uKW$5=v z@J>NIzL0RZ52s%Wqu&@?t9%y;+CUMp8EbQ5_(~GBf2^xG=)w-Sg`S%E%?DR|{kTQa zqlMKs2`h!Tr51Il8{V^OkK2a#UXBjx@dcE9G0}f?n`gl9uXUO`kJd1S;6X+YyUBZ3 z1%xGwzX98YyKc{vNr&BhFk;Z&wayJ4-e6b5HoLiDv%QS%Tx;g%eBOn95u+=a9-JlK zano(Wl4}XS$utF={G@C@#YFPO_O_G>e+|6m)P-6Gr9H+@I!e#v?X{44t=KZy zut%#v4(5Fq5IU|mj8dB4iD?CXTYx3f9kJ`&*b~NfkWBdgchaca&@EgUwE&9BcSbrbWXVd1mRIzl+Zkw%0>vsUB7C5K; zHr)1^RE^GQuwXvJlD^$Uxz%l$ywz=>H!ma6dBgm6SJ}ydDX$eNIp}+h#-q5AIa*gt zbFv|tgAo(hQ}8zZ$R@pOEb4lT-jhIO$FEZ-?r5KW>M8q2zpJp*d)J9OSIT$FzG8cd z(CADJQu+D8P;r|Vw_bAuszJx@btti?DA)p-iTMJlSw0PCzpG`wvSU7IsnJSt9nLyQ zOrZ{E(&C`1uspa4wt286uKxlu`pOzWZET43QYf(sEV1=yaeAup_0LKt;!=U3*2neU zZP33dD@Hu}3a~$+*o>ugNl^q}UBf$18ER2q^M1c7kCh~LdWqiu;pF-dk3NnZr$aqh zAsoyyeXYDCP%B@{w@t1T@#tP)G*dgjbS83u(PuANrC7V$D%jK%q~-Yo^a(( zxNfPbL9v9eZ-w0hWY5sF25^BraVPvz!V<#1(Xth38AHa&GNMZwT)h)0&yv z|6RQ*-6dC73lo^|wfE`ur|;7n*n9D|*}bq7ha5}JEzzlZJB(D0uFWx(cC=iE?wO7u zJ+0gV?Nnum$6j0rc^|-uVK%rOGN0@$!U)gaLcf)?p5KqV-k0#Zm|ctCC1gML5LF0W zM;w;@^7Y1@x%*`kTsGYFjQ#QqmapEA`yxiaA2&vfVZWS{K~ne2vslr$AGb;rZc*U5 z3OtYX)(}xGGI>jd&A4m-PW;@yw(pGUoY5BXJul3rUC2b zxDh*kii1^Phj$yknRE6+wXU?$X*l=y8l5OsGkNM#ZwQg^jJn z%?xzL`kLv@AJzrx_Bxp$bR6xjnz86CSjr9IE|ThhJOg_S=14Fj=cG9j$~+t~q&*IY zZx{vYBTSm>B&@P_!nW4n7$9Vxw8z0qd+8LQ zMG4rSD@e?ce#&V*nPjTyRV}9P3m7rWOL|XNwhZo0E5>&!3%fHUDb0M6^mW*w=AkQk z?cRO+4?K9V?$E=JJo(pU?mG?>(PO{n6nu%n47#ZZPx(cE8#8eUBBTG%3{Qw$Yw2pm!3z zvAL}HBgu$tj)__`!{XtIp{>Y8^0@Cp-@QDzSG;JBk!<}UD-OB`Y z6w|U} D9-%nZ1C!Pr2+?7a49;}eiBB!<+HDa3k#yo5%R&tUk<5}5#I6pvlxOYW( zzzAs?4)hy`RhQoGdsd|no>Mcu8T`!}+D`-;AKgh_VidgpG}h%;jWx=tsK7qD0y2`t z7&pY2%;yIQOA1XV>6dA5gE5yUvRFmOa8o36UIj*F!O1s!OYWj$<1hC_RNr~Ck9ZQL zPK;M__g=hPo-r6$aYm_ChyCoy@o^g@xE-r7xF-Ai?&JTizq!tdeoXWNtkF&$A`JRk zdmJ|^$2UbBpkw}yZhS;40kJEP%ea3ccAWjt2dRB*>HUD$+XH#*b7TisthTaPB18dNotmqBx=5XhrGhmk)3GGIT3g5^X;3O?~tdBEj zI#YZ18>n?)1@0xh<>xONB(08RCrZZm_5>#}o$Txj?5tB-ZfuWR9FbsUhZ@$SiiH#y z@c-LiTA(;>tQf!lN(MZtftf3T1uZGMDr}oXtqPDkWbIIZATaq%LMG6d4pAja8k>$Y z>1M^pxKy3?proo56X^`TYiJ-Gr_&hx%uokOCFBN?d~VX}bezFNpxDJ9Ho96#C7U?2 zj+>^_UI?l>M3UD;mgBsL9gT6Elv2+{gqRcY|LgA_!a%A8Bm*%S3CDwBl|KX!1rSL< zAj&=GKNE8j@@?f=j<=|Y3TP%m5KaJ*ia%8Cs)v%gDoVrj58aI3{aM={&6fg?va#>BBEjx%Y@CX65>0(lZgRCVB!wK@)Ctf zPN3lIN(fYN>4a24)2LH1Iuw_N5*ZzR#{Wd^NKgX`#)-#NtVxqJ+KveXLRKj4+HYfZ zCeEl!JX(`cl_rs^&{Rr?pqudizeX!-Ohg0LQBROQ_!`}iMWC@E4nhI}hS*uW z@!bPk7y0*ZBM#X4QF&PWV4q7?~}#{X6X zh{8W?no;Ag<2vfNeL8MW9otc_Vt6uUSIEIULnb%snA1ed6765{l^;T8ouNR=l$7f* z%6Jlt@gLbEF{S}Oz6qM(Rpr;HffaP11NFH=N1>^tnpk4InxC)WC`>qB;*H~+&k*lF z(4jbF{J9ZKLLW?~@xO`sjL#iq^jVdp-ABK!jpypJXs=E@D0IBN6Md~z^{RfDsQ*Ms z@KG`tli|i6l{P-6jpMjM2S|A)Xc}}>G~GzJ_XBFx889|Sia84U2l`iPGhQtqcu~xF zodY`U2qAkqh)SmOrG{t$NsQ4X9ipRbASz>+lc-$>zMeqG5rpvfZ)FRyP0E%l{ln=v zT(M0&SRha=R*LbzD|G7c!E277QOi~2MkfAuvS&YVuFy%07uJ_F!Xm=P2G zP9Td^l1*d#hq=sZOf0^5QY(TEMi#yN&;3c;OM!`@M?f1FwQ~Cm!Hd&@hJ|r9@N-O#^pnJ8IN@XE3H@R)E`b zQ5%pGET?e@Q+fu ztTamLCXl_-_6b^v_VPN-3K`E;Ts?t6eUz-C%LRQ@niXT36_62E{u0wrS*+_Q?!pdI zEaM9!v?1imzydAtj8}{%U4;N-Q~(bh1+3%$5>Al18Vw@=%midsqVh_u(8*ZtF3?+l26HlVHI^6^dDQv9_ z#CUOr8j@ooi*+Oqw@7&Ea05+j62Uci;*SS4<%vre_bZeW!#RnwLLz(6Qn0Slb-cpQ zd!#Ef07Ovka=H;Bd4Sj8Xh`w`6asjn2%3t0HRy=s8oFfAs0ET&q+#ITFVU{zVsayl zy#hpahRl-*qP)@@3JS%vsmOBlkkNBLn0z zxkO@Qh{VZ%lRuN^$S09*Ua%bk=N$&aG z`@b`1?#vYW0$=HKe34(}SNauxkRRq_eUu;T$;bQEzRZ{Ud|&Tt{2HI_n|!UW^Hsju zm-u3z>+^i0Z}6jhKflM{;&1i0``x~izoh;A8Xx47e3VY{V|))k$6;w%8RBgUlE!9yyHBcipQ8TqrtG#RM zXg#%2J8htiw8?a_g|?bySM7hA4jHwJM(>jGyKJPsHFn>1GyK80{Ae71GBQ6Kprm3^=L#hTComo*nmygitX5m zedy%Fe8gBC<>&brzrZi@OWegT^KpKKUp1yDjOi(UgHQ7rKFe?NIi4;vWTwPOtjv!#qX_77(k||k|Be{|%`BET-QY6Jv zBBioIR?2EAleKQ0TkqOjyW8M4x=n7g+v2vmZEm~U;dZ)RENrN}=VHN@$}1yo2yR7@pQYVOcidQmUy*Lumk@)Ptro$S`aX*xq^O%dnlEqa^Yp?B#$I&bg& z1$v)8pbt$MAJND334Lk``JBF>%^=(aK~LD8|LBJS7=$4hh7lNr5R64A!Vo|NB5e}& zWJF;qreh{zF&pzR9}BPuHb#kL*hVHuM<%k7i+mKK7^PT=)mVcHRG|iSXh0KMuomml zj*ZxYZPEd3#j}P$u`~W}54{--SY^r&LA2rQ9&QF+Xp5j3= zScb?@879MJgp8C?GFn1pjEt3W5-Q^*Oq>KHTq49vq)d>BGD#-O6p4~(nJUu+Vmpb6 zNDt{Ly{wylv-FX^(oY7+Kw0C;U4^T3Rj%6AxLQ}|>Rp3tbWN_=wYXO2G@#)cpDom zF6d5dZwj`0!Ip%m3Dmp2nR;6~%sv3L$MWVQ*_rEy;6Jf{UUBgFGyM|=cir~c?&$Lu zP8|r1{Qgo*>hN#+9O;bT-*xE9!fV$h1?yhBHYr$ky>Yr!yYD=Ui5BCo+7PVrceti0 U`)?EeWu>)-H^L19{|f?t0e@BiU;qFB literal 0 HcmV?d00001 diff --git a/firmware/std/Robin_nano35.bin b/firmware/std/Robin_nano35.bin index 2e89d8708f7416f844c3ea7cae53635b8ce6ebb2..c761dd5a3761958a5c6fdaba1aa84299e7a8fa4c 100644 GIT binary patch delta 92992 zcmb?@cRZEv|G$*dKxmVZvU2Q^z1Oiq_9&~OgzUl{+Gd@S9OZCiWWBjhu`}6*+$M^gF>-Wd~kIQ{uuj@6QuX!Cz7E2w0C7x40<}n@P|MjH)Z|kot z_6v*=WR<0q_tqLn}8tFsbfvf^QjPfsK6?MvGC5OJ8^YM&&+iQdgF#=mrg8Q(<0 zPxF7TGB+R(Eu&v4yF^=2T1K=PJxwLbLN|ky7dxce#`$Z{j={-;%Nd)>F4I;ETxmu{ zYc^n=7zEo8Jn>#dfMcu~EQigG=r_as*5Q?dB4o1XUzx2RirFAOskM=;!w#%oqgKw(cP7*IUwb-W3Zj`si(BeNhlP8M9M4jU)|=$6vYdENNco>PRGI=fn%&Ylt!iV_^r#w;)8*!H@$fp|uZj z@I&YJiS91&`>(b+^K?|X-iHR zBG|0^IJ#00H@q9SgWXZX;0_)+vu|H8g8Qh8(z61I^t|lBQYXYO%tvd{-VG0Xb5*7i zSH-?tv5NtzMu#XK!MMu$K9-BG6Z%$p`Zk>vvPebswyH=TEkxx}o)Zu2UUq#_x?!<4 zhXI>rf=w&vaLY$!>G#RwgqThC9ZfdioIa>K5r#HeKt!^n9!;D)=+;>!y4Wrqh!Z>h zcHh2U#5Y#eBNA-{?6*Xr-aSDCGusf<9rMv*HgdG#b09@O=vU;8Ri49r=&RT{ZC3T? zgUu>}HFYyKng>S|4IL|;U#Y9UDxJkT@>NjWG)GI~9BX>^r9~oB`KhD6`rQ2Z!dI%! zinFWDnXzBoewpH0>P8&ib&wNJTsr6Ctv@m%pWV03TV_FN3Ae&B+4wX!4ZjqHc+H+A z&Rpo-v@?*sh|f1ra>*NGgZkd0Drt{QtEbQVo{>cv+p#+%J z#Hc7Lzu7}(Rs&}ngxKg=?Q*Kbi2Y{8hgjFj<+qQAhsj!wMJt>j33uD8B6H3y`(-Tc zx}BUt#_V~(I{Kb{|FcdbK)`{vz5>*7Z&}B7(tjI52`9FT4Rg66MtYy0A_)o>s5-08 z&N<@fu!Wb76R@S8qP-$~NG{&S(I7VeU60yzC6OEx%1|by(oO9`*4%KjEdG~&q>Uv8 z5YR~y-j7nkeZIaf(ZPt>uDnz%+4%{!Rw3=mt9!U#+AKXQOd8HzE`D%(u% zES#_k{=CKn*R$bh>iQn@ZYhF)T{dUtp_v(}NGx9o2jx<@3;+j>*a_I#2!Pb}NEMx_ zF?RmbBw?Be2;Gd0i5f9}+nYJiPrg7Gul9-e0WwjecJKW&d<&5%k%|7IXt)enIO^E- zn6bG$$i@}}D_tjGg>S*U*l9Wdl(_ovYUPSPH?AzYNLO$tz!-;u$}&F$+%If;n4~0= zLu54$ZMc))r|L|dr81>i-edk-#_<)2xA?1n5RH^-+V9#ZyOfuX3KnG)!{{co7F2G@ zQHLHzC?EMwWM$`^*uoU5xZ~}+{YJH;l})kDP4zjvUX2q)%8kc1&**&K75ezP+b{Jo zHJtGAsc1U1z8ZXLNg?T5bX1xEM{2C8=p=8R``APL^-1}NFBx>UT%SKSzLRcioiNxu z@g3F~A}cue`1QIUI$E`_?BktjJ4wicf?kl@ov_RfWrvBk7Vbj}6ryuXb(NzhPd z!1MJ~PY{>ITcgTxJ*kT_H(KNa5@jx6=lmVaM>-OzwDA`aBXWe)X zNeGQ-@mqB`DDtRF?yiPZB3WldWO)fw#eM1AE$^%Tl5-Ih&aQ*HEMaLWW?Nmk1j#q| zME`I=gJDF`7B!}p**8p0s^1R76A)?V*yy`YAd1gjpcl(W{5~g3Up9?k<1m8;f%RRK zk^8WNaSJ#fvEyq`PG`<{I=)%LWfeU3-tnk*-EmT@MA5qh>8Nw3W+%pZLnwsH>p?EK zoTu`cx1YM2q4=5-y@fh&)TT85j9iRpH$!a|6t%wj`oP{Y|CkJ%VYKYU#1ykacU2}d z1mGhUz=y#B{-HMwhbn8{@3 z=e^v)UvrwT+W~mV{yz^0{r^9q4lw_JJK_I*KcKc9EzCqeZ@u` z=IP_Uz&F`x^~ear?ChN*{v6;_jZgA5$w)f8J0T13+rTmn{@2N`0@1SkL$o~#iJh?%+9#jQUQ~ubUX_4=A;-lqx6pIW&wDR)V1evW zp8H&lP#jG^(m8=_7X$y2?JRS3@fDr;dQzO?*3^#nNyeAG7WMdQ|NS=39HVkRAq^uX zMRd!Wz_+!2#lqC39%E>}?G+|UwEPa$JpS!Y)bYLyb|p6>psq>3LP4r#xH+`SYjZ^uaf&glMYoVsa8xf?t< z2scf~lN}~gt$5Qcz~s8Mm`XPcTS8jE|hXo~E?!k8F`KsJf|#b|0oDUJq1O8&oz~4lZ`o zVO$3vV6?w-jn3{c+4b<%Uq3{%tkz{}2YsiL-(O@HEQ)`vazZOX(b+hhVl~k(D*uX? z5OHEYOs0HI{Z~5KM^ad@=KyV;xPKzkMz5S%sraVA`cY;U@0jTIx+~D{df003gfO}5 z?8tZPgJhLL&J!=Ak}36R;pGPEig5eyKJBEAQz^NYmf!C+%uOg1A|#ygxedU*%Py2>jm=y5E=8jj#huuiu{kgmna~t946uBpAd_t-^bPn&PeXJAGU5IpjRwIU$ z6h+Nofz^^LEGi}zRaYPeUC9jhk^gSA_+DC}x}-J3Ag{laL-}3T{De9^ zk190c;F%|!cq;D?@{Sl1wk}dRCinaaT}*HtTQoVOK^WPDQMBPfQSIE#ZHVZli=6^k z3fF$1q+|%#uO6^Z_#ZP|fwDtGj(u_Ere4TinW^bQX+KA6J*a?M@ZW3Vn;9ZEWHhSg zG$iErIC##xhM+vnw)W5W&;3wt!7oX3oc|Vet`iO;!>vGoWKc=r!ZB8;rv>vx^;whQkeAxfSLC9=t?7u10Ccz=VLKnco97g4}U#waWG=rI(I%X3sRj!S(Yh;aU zm{=-_5SS_y(6i53e9~7S`zIHZ7cr&N8QImf3a4B2mzKCz5*s?3GUVb4)eva)Sl%NGmzVTvgRoMV-5P?_y@@wUI$WFt{Yga-P_jQ-~PFI ziL>-)p^k0v=z9H%!#mo#(toV4aHcxsiE%jL^XeBbi$5<j2)Xh2%lxY401fiK;$F9HJN zm(>jAq~@Bvm2iX=N|+cP3%k2v@T2IbZqg>E^}ceXZn(Qe8B39?`7OmC>9+Oj#ct<< z`I^=zRq#uX_n&jU7To~XBB)PyiBS_5L=`^WuGtLN9H}2b1%81^ogHP0+_bxGvCdNN z>hZgv)(wgtHTuM~A7omcB!MsAzgBx*YTQ)2GigWWTXp zxJ#y1vw8Us(8(zLY7T6XXT4M9YdxfuuN_joY6ns7p^QE-JBdGMTBmClXjF7LBg)|- z?oi!|3#a|&0Bt0<>|vKh-t+r`SdyMOue`9KYU5AZ>NR2FKnTFQ&9}|AD)Tm! z_9mGxNlPZyXknD1)n2}iZ9QT~FrBwPs zE*gFme4ye40XvW;vQ*;}WuY7ytgh|2bFX|unp)brXPMbm;Lc38>w8KP%Kwc?2~dc` zH29lV-YfYfO(ire*v{(1&THAC=XxgP&^5pcVuk-AQ1y!vgd-SJhVmIJbnJq&)`q>! zI#w29S})eljNMiy|1Nkd?iZ%>c-a}3dIGbi##xHhYJlyioWKY0w}zRWdRJ%2vCi4$ z2x>1h4tnkk9V!kw?cjtGi*{+1nMuz2c10A`!ImXU>h$IZf z0W4N*p@hxkf{@9G@bmi!EI!RA>Y8lkqTRjF4OXk=US7Gm;qW|aW4XGxtg3g72ye$X zM7>PZbdag%-mya0T33;)JwY9GGgr0jE&2v=>(283wgK(?E9Dff*lP}Ob?Mbm&KGX{ z4(%PY8MBz$Bixyg`r?iN)zzx?t4gcrnqK(F$-PO2gwe;n)BK55=I)^rBZR_3ZC9>O zC_fsEyAfnN6_XMq=502P_YDP^R+Ht#o-e3p(4bZwK&=ED>P15|fZDi60Y&Vsd~mYk z^0szJ4PuJ1kzbS9?Y7nPpDBKGIG^-VUuIN+l#MBrvny50zjC%=jVsma6U#$0`Oxi% zxO|w@+Q_%aLjPckLmsaN_T<92V z?J_S~-(#YmDn0*oQe^R$DB}G2tQ)l+r=EEj>iBt<#Z34|QH$N|oC%=|A??eSS^vOpiZqsF!oIvA;fssb!jj(F&&?LaqIm^h?a`jog3QHR+vX5)$Zt7^yR zAU3yQXHqq>6W zJtZ$5*>vBoOc9rW6lx!+dy;u8Zt(S{Hca|UuvF@wW6hkKMf0K_C?Q49NA9Rob~s<4 zUn>@|TTz%TY!5ygG#8WX%@A8Reu_&~!0Oq0n1>iiQh>X@uK6vTiZM8BMF@?3cr2lv zgq$Be{=Jl8G~GJu^J&($OEV7;9~suvc`NGk>E*7{12cta6w>b_36ISwb(E%1xOxCm z$pIz&AtQk7jd>K{g$Oq2x0>(sXbcq=5U717-_z9`&sM|U=R0Z^pyXDfO|3tiJhBuS&)G$|E>?%5MKnQtO#`S2xnJV_MsK-X?pD@K z>dr8V_X=9|i=vIf*PVmof9gu+UVQxyA69j2tTu6hb*|u5tRFkzfF_8T$lw6xs_kOV z)kqaC$BiIU>uu+cjmpzW*8>&kK-sa{3?JlLD~Cry0Z6&m*G6j}oF3m@8GHXLBLG(n z9e~Pf?*uE=Pr^2DJuIEB&#rE0vZ~)&!Ew1XV>QAhSX95(j^@NU`e7r!r+)w(3bJiC zBZ0D@3QqSQ&L$POYZ?cC`+TraipS)+NXTyJJkTJ$LJrZjIS132&*Y>?{1WS0M_#x5vy!MiL;SW}GT7M;Jj&Sd+3 zgl0t$D1O^HC?Tda**)u>iPfJt-Zx}amPyCCwY}mwz(PkWdWGNJcBwRgt3K+_ZWMK? z!&89()$nRPol)oJt;c~1CyI+Wu*6bt=2jRQcUHdHY zpH@vTt{mc{ec(8e)hq0nCh&H%SEc*X;&lf4-ODniO-roX=fhe;J)vv@@F);EbcUUAnrH^#-vHU@f&z8!%^DfS_+#bHP2nS6= znifYSA{{UEkFo1rA_;#3P^$>cuv-|*K(m6S)3Dx1bL1&Z_P)`fx$j3LR#epqvAceS zKLe*brXhonfrm=V?eiwB7gC9AmCF-?*|om6s5@)^rOG}thZzXiEUfjj*eCtjd~6 z^)MbICHxzI+c7p86z6P7ccqF^TI{tyh*?3lsZ6^^qHRYpN9@IT%9$W(Hae z@3z}9+oI1aQ0hw?lItW#+!aP`+37fX;_+*@5UH&VoayT&F&XRS8N;TRkc}9%fJ)5F zagbOPar|4{&kDThP(Em@0&mFQxbjrTapOMg$`!SIQb`M6MUf|DiYX1T?-0G@@s5s5 zHL|AR^R;hVwfQpd3en@CWrG;iY`|hA5EXv}ES7a#gn&EtE$70K3hZ{Z zDIv(UN)-R1=5_O>$f}0V|4hBUw2W2edeTC!K9HE`2PCeI0|MaMq`j5V5ClQsS@6mz z)<_$8J6%apMYg&`i%WTUDYFl6jA-8WEAY0N5G^x7<8N|45=H)cRK=wlh44EEa<_tT zC0sFS(+}T;xcJw)*qaZceVihaSqc&CBSraYwJ4tRca>Y)&QlYVZH=o?yIhX z28N8H=ccIRVvxSvhI0|{hC0?>)##5H5j(5HK?8fdbSQtp8U8m!B3yRfSZGBYPJP*9 znK6J-$z5L!66GVUC--f~VIv-4m<*JIHe;r?NECPxsvG^6P_ zF^WqKrtWnv$2~jnS@)iJ`8U46D6tJp%0fm~&prdujOF-WhN2JQtFm!MxeU-K{s~D2 zbnzmRRWHy@oQ9JZSJ?KS03DjvFnf4#p^@Z9%OF7}p=0}Iy(MNQqKL>=1p1ta9O&HW z{j3!EwV(UF{Ou#!1f&#L#>+P4ntz|36ExNt_Y||T6A9F2V$qY*dB74@DtNEkWISPN znze)|xGJE(817{yr<9d)b?fnhnExVTQ*gN#kYiL{l)hhjRQn32l(l=?M>Xz zMpTCYcL?IVT)el3#|0&u0g7d zGlP*9c9L+(7C&{e!LNz0W!F>l&wB2kbx8&Xu1( zm{GNHg5;jF#P8M@$Hj4p;v%1?GA`J|1DB0#@2l2NGG);S%+9K+l{uBUE3+)uHrYy> zMKIes4VqvBzZl!+p1$FtZ2&LHWiBaE!r{&_HV$c+ZPm?dJ$MTVCBmd^Mv?nxd?REy zcAhdrN+OCyODgD;T(@(_Y0d6=!FKYD4JbC#*`nrkN;fy|D5gl&3&fajEl=UT@dm!T z+!gIMb?6kP0WRC%0kr&4V(UaC+8#S6@`mulfe+RzXM#K>>o^^xX|wH&N2nC)ShLhd zcA0O*P9w<(KgEc4vfI?&=~_EmF#J#gfo?emCtNdeYK*J&UzBWP|Z*++?mcO!RD+LhxgQUmFt%Ln+73cQWtoqC~pC!hkgkYC6T zpi^q;^^aDtME*3W(a>aQ&NMGCUs zsXV-0b*@un_S%S^xv?Yp`<(84y@qeGj{(JM@zvmrBQv$q_y>^%=l@_k8CXO&Es#?_R;wPb+IC|YF#_6RvuilePXb^+5Gb-K*FLBq{2Zg zBDNmXfLZ~8<>%JkqO>u`)uhsz`2wk8zx?XQFC6cGYGOWX_?5!yvSrmBluD(&9|^ks zGhS&vZ>^=UW2eWhMthS!AA6}~jjYeyw4HXcY8G$(GjNePe#(}}stb|Ejv^?vuJ%{y z`%;-I@|nridjqMWNLEZ&6)3wuI7Aka5ND^8tLD+ZXPl0q>XGu9Sh${n7$k!=qq};zKUQiYk9}V7Bym+e@FU-mi5`{ z%*_}BQw$-dyNMrJi=hkPzcvLvX<3^5^rFh5KKyU^yZ>DR4?wq)>jxKf3x~G{Q{EiN%?<5CBn}ZHKkd( zwN5P_>uS;*{=26mx~!vA!m`X>D_KI!TEC^wnI>k{FIL(`+^oJJ{JYY)bCKKj8SfN^fJ-JCugnEEGA$pB7dlmc9$%-R) z+xv;|as*+%g0N)&P&e^^A+ zSS{I-?@w!hcjxTH?5O7uB&~s&wA3M03c&|#QIm$oI`Dg!xzxM`dp0xUaP+yU+X=~vzaN$;G*o%}?{AKkLx3*6h-j!(w&2WaigFrz|Nh`m?VHCtFHUP&dsgSKW5sNPk&}DlA}f|k4Up)!C%2kUYpVn! zDB%wcM8)dSF)jDtwqYI%ld(m;akiTxsqfg-95{CD;g9?X8BHpDGDa*w zK6NMA8+FxJ211>ORgG2Gyj3LTt7pBH67s=c5)%k-4PUPAMzsl0&;AlURNqiPfW^y1Z$jPgU6iR z2{Pat%#T_5v;G*Y_x)M7BORTdWF44GJnN=!7_Vb(QrDLLB>*nf+{qi5A`E?yCOF(W zyDV|lKYhErR-}Kl^+=L2~SkDMz$u-8U zmz^9Eclh)DA;&%c2aZ#QpBojrB2FdqWF)-q`nZV?{Z$IF$G?k8lDcq>SW|Ry=RsDZ z68;**$nnx4N<&>ds=3zt!{uqrt*N#X<81ds&+hE%D;HG8wpw0?J1qB1#l*ma&Q!sq z^hZuKZ`V@h@dVtfTGw+|dmIr5az(Tyv>pUQo;+shgfRZb#h|NhKMW0y#k$KoO!zN- zDG61RHu4yNQqL#+ls-=$IyM>fJ9FANJ%zD;&Cvps~2XioQfvT`xbO%iD>c>xK}56 zcZ*)&i;n6t-I|?W4TkIs8Sp*i#DI_}?z;EWKb#Bt#Hc7V zsU^G6YEVmD_rYPvnIBei$e!!kp8xy^(%3L&)vuhpA(AHJORT`m(C!yr*XQJ1#@>v4 zwoSg0Mim{-Zdm3{jl-sBS|Q0ifpcOT5g%0#MsnAwPJ?QDBqy~pcGYro&~)qfJQ5-g zfyQCu_viB>q_blt(xYX}Hpe=2tv$p4w++5hCH`xJ3a|kofUk+Wj^)n=@`cC-+6Gw_ z+nZ58c>=Y)XgWs22@}Wd|2iO~`=C@6=2Z-UMAnhVz}S3&kgJ~Vjs#Q5=8X9|ZK{hw0mb-_-&*Rs=6C0X&=jU_3<6era>^&b*036iX7VCjm<*{ASSH- zJf0F%X;iSPE}v(4*B=|#&E={jjN-jzaEX1cpTg@hVDT#`=cL)zV`#nu1QZKm#E6%< z-Ijy?l^}ml@lnD>c~Q950g|}`q??tIMr!&!yGcC5Cl`=G68f|)ttty@!J^u^%Ekj< z^!0CFXtNY~!{zsVIas4S+Avq$@%B+Jd=uXST1&_(Bh)uUD4{Ik>HJYv-TEdSydL4~ zjdm?-sJfYIwguJABb$GUpZDyJxU+fW@)-MrE|T!Lnko_j%+QW8%RpU5to8TROCJZ{ z;{xdNI&T^9vdyvXzu5KpdQ|Q6E-cK!;@upWGyMzazU}>OY|jS8Xx=%5AGVM& z-F2!K1SGWe3Xpgdk=7YLdv4#nI=UNi>1r6ETKePpON!&i`vOS9wd=60`{$t$+q+PX zj;@X1eB6{wyt4|&{7vG2Zjj?(wzzL>yOlPB3Qt!L;{`n*R1*aaE!$1dvEb~u0I~3h)`u~C&*s|Fd_GzWVkb@;2oZlr zP)+~_#8ji-+73!!kj*@|U~M17kB3|WuA<#v#UlqGZSg;H*Mf8v<=*-WpghnwdZ89W zbaf1KVO+#kKG2e3ZW&{zDREB$XzAQfQn4@dWTH*9C*QYy zO>65_M#-Cpg5*_+MM>mqHiavDJww|zxU8uCY9OIXdWdycvDDg{Jj#&oBws*?^K|Ig z!oW1_c;{&`CoMa_mAoMpck<#99D>#k@N^u5OuS5xK3WeB0U)IP!_Bk8KD74#$esXYydV$vU*QjP78n~j=_~TJPZO}6GVKD8VsjpUBdyFA z=CPt_`O67p=Q$udpUuUI_dbO!_Q$=lRE{Jb)%{lKl&T(_tN~#yprx|hUTrA?R%Z+h z)Bg`aLSa1Q{K0SFQ2IP z51bttHp^CyvU~do!P?T2vrcuAbiu%>*46tea0Qb;J=H|u4qqove(YFym+H>p;tK~lG-Av` z9fj&CC(LiHyG9TdclSox1q2k3vRjHjKwffV4flRV)2@M{U=O!jQJ42{n4hdHZEU;} z#t=z7bED4R3rKFo|5;@%@Qp;bGIqXRs2#llAWK_3(&_geCn7cN4|_I(I> zL^eM(`0|kSPp6n{(HhgTfMHyDX~($j+@;U%zJh+mbn$IoZD(5WP3V?8)9%s)EdCK} zk){J|z>45g9EYXOioPy=#uD|3iZTeQuKYA@3R~ZO{$2?jfQ|J8?K;E-XazZSq|saZ zi5ByO){f`HUf$aAbD%p;^mh*}yDii~TH>wm2?{qdW9j!M&OZwm<+Xg4q`L8PH@Jkj zwOkm_jMKG_s!B_V{bvaY%qIkdPLI^ISnhfl98>y|HMSP?s0$vjjR0TV_ z8Y|G?T)6?cEFHN<-zq;$q*l5JgMNZi0%Hcj8hSnxY#@DR$I+OnD>F`^4?!DhJ@YhX zb4aZB)Hh(J%S5TuZsYU?@5MDMpHUamfh+lIgIz((Z%9#wy;h1g1k(WlcV98iUmqE*wB|{dN*+Y-;B6lFI<5gPkHd0<5FedVVt+1&v%TWjQOx-r5!VuEB!- zRdpi5-Qp$C0ge$lV>h{Fsl~iIavwV^6?Wowbl8(-hZfJ@g-KodQ(?)jBnf}n5|~*$ zwdfCeT1;y4l@5K)GFfbL99*D40z?FniV*LVaC?IkuDDPv3~q~Bvy$gWsw*Q!FIFv# zX*%xR_tw}(P`UQ}e7`*@2WA>$?=8{>8YU{V3X6vnNtjZrgnI`<#ys%8#ptH&4;jx3 zij)*HKXa%a}o+H1HfgzXa^K+G;et@0Yi^3ZnoFZDxZ5qQ2|wiD z9k$tVUffi2xozRnfR1VB*QhS5JR~VX?d5!>dIrr2)eX(i2=Ka45ZfGJ59e9wnj};- zNC+%-kYeT~SjSlH%vTK!bu{kAl4dPOjwNd8yT{gbZG3t?bmB$8G1R7ckaer`)f^=} z-VbqvV04S(>C-G;)1;KO7t8hueYN_5X6S-3ZT*!WNe7((A5fFb+NodZ<<~vkEol``LJ?CrFMdyc6>O5Os`Q2Lv2NM?sfBDHNoF6<7|HUHkb#Rm+o{%|2DLp_$Tqg$G`;R} z;VWSmxK%6|DW$B^bPSy%O4mVw)Gz{7Q!{GPF&c(Cp7+EoDa?zqgc(l&UM zC(=Y@w(Rp*i?;-8Sty(3zK9G3S#j<$@3R!1jGR){|H69PKloiY=U3fBb3G~c>oOshqT%uNy!q^N7et%?kl<70&-fjkuwN%ehWpi~dlt6F;+BoqT_6EHSpriobcK z7BxA$m0=R%bT7HUZ)z2^ltyj6_coZ_8>FYkL~V)3-QCi3*0w{OZYDSSjsIEq@E-K; z5Q0`PxBz*MjuyVqI**P%K9_hiN6XqlCO5~?58fdoiaehb`)8}bjT2vcFpH)hbuV&a zuEkZIxT)y;u3@?nD5VPzqOFQ7wqKQfX`IV&3|QGKUr4k!LoV@Dw;Cw*mXd_UG*ppv z;2*3GVqs}S{F636(cW$G#8d77N*RD1*MJY?oTG5r!{4vikLuoUZI;b?QS-c6kt43r zkledysB_;d>-yR1QX~0Ab#YvCy*JRI@puaudQ;PoEW22`!#$JpczNOTTFy?=3{Ghf zLNlW}rTxjYzTtIpdI_!mkOP1u&Lo$C8BjP6PS6qkZ2k~%76)iRazLRAYq{=kax6`) z^PgHwQ{u^)JLU^OiGSV}1xk!g&>6g8g#(S#y34vi3Hht&#_pJtg)`KR@4D$di(CUZ zv$KzYg|5bfsav3gYhZ)O(Fyv(&05z0klQ%{76zy1RR01UnbV3a6a4+jXY{|3UZsksk`3>X;I8h@ImV=x}zYool6yoXr>bw>ktrZ3X5x)EcTl|A`0O;AoeXN zk(vn(aOlqgroaK@IiCI0`(#7ZToiUGJWU&va)>?+0U6%_B5(xGfXi_i(gjdlZk**o zNO^t|<%v;ALYHN#4l}Yq;k+4M_GR7!tfh(2i0Z%F*{h zW0U;mUse*F{`rpxM4+`q6G0-^@Sh8s*G5?+ zNg%g-417TnkYPRGP!zaiTZUkdwttmBXlySEbij=I>qHW4#|BJh|E#-=2Rd}r!WsB` zEPqZl64Uv;UEqNVa7N&!SJpQg6bre$G!^bc(qW-OnmhcP1D8@Kg5aR%&#gj>P~a(FA?PJNIgz|0fFz0(ZD`*UYv;XJ}Sn?9@w+w*)^Q&`8sPM7r>YnhQJJ zX&>-A+Zau8Z{Y8pKuDH+ZbXq2m@x~`F*yKaI0&TIzRDHX=26_UB2t4SB@XS@^5r^1 zBb^X;+6hXHqzZ!53#&I7>73iA-nC3$BeKDzceWBuxH$+Bis2|jGZnQ9DAhf>lb*3a zL?WmS1NQ~emUpp)4vriaoiCH2Z}zIZp_P&UO|=#n7j#(;)Xdy@NsGFz4^X(EO#lRE zfe0P}JME2#%Hc&#`0MYw9-i(Mp{8H|_O09~bx{qCY<9Y>91oK+NWEuDv*Ssf616i4 zgxDU-a-*|L5-0+ud{UAp^h!q_f0L%21=)BVK_{MhdhXl;`or6NH3?l06!?CP&pR^) z#0?+oZ!23GCfqX{T)R-f7o)Wmb;-mX+6HyfgJ}ZaCmy=Ht!#ChH2TpZn#@C3SV0+> zC37Eqwf-W-S_n(@cMhd)H5n-po`!Y%S9~+udOc6TY}ZTjXkS5Q^0&5{TiVwdV${pL zkX*BYk<`K+*|{j$oqA^;%$vvacE?wP9IkJBq;K)BSa3lj_tQQEuc^NpBnN}r{-KIW zdAG2&-~_qrR63@v{)WkxarKz;kzfSqM|g^Ch4Qa=e_pG7l}i%7ZAojIQNlG3U}4%h z1guj@j+@uY`OC6^LuJz+TH?-wt6+e|IgGUCy8L}w>eViNH1jAuJNVTMe?#0m0v{ey zS-~>x9Z+|z)>G}uN%d+YC92%Z;|nh$ep#iNVcp}^`Lx)8uWAY<7Tsx_2}aR3rb^jp zNLNBAMKE;Bfq+Ifh!)U%2La7ppyY>^ zY2SxXTz_e)$Q&VS_}qPAD^+HDnwi1LOfSolFB|-efC7u{R4lL(`|-a+sup1953Oie zo>1;2UWRS-zV!!c{}u6w8x+J01^oiP;=m-$O2V9a#|y3X(P!!cXK7|C23* zVTIStNr85MC`8VGx%2PNriZ&4nAy*%zdo=`rUEm&*-SIDEIQ7@vN&Q3Mt#*_pVik> zS`8uzW)Y9)OOKCn_}7sxuK=i4xuzN?r-fBkQNTOUE=Y7NysBqv!U7IF0~~;q&?v3} z?n$u0`9B++a@r&(sYr1!{DImZNvfJ<$s*Bd3F%$?tUiS{hK>lfUwdy2!wv$Z6knmS zaFMGdsKV&eV)PW@{1EsVk!c{JQ8wa%f#sYnT&at@l*MjqYWcPv4XVZL{)LowKOLz! zs8U=68QpaL#o8AGtq83L^)wE267%@H>mHf|Uy!O#^94WR&KhFrjdGEg(NvMe2I>7| z(Q`yaz9eo;aW)#G;uO^dkTC(|K# z+BPV)YL%#@u4DAsrjlZ4rP5r0WZ>rng5c7-9tE3c3SM33d)yCzN*MqZ?OyTkxYt%< zsb%Jx_PZX!zhrt-TX+372h(-?K_yicVgkeQ$W$F#5$Ys|95Fx1l-y7O0F zfYPxE209QTxY8DZjDevi{eccFmjWwzdTo_EBjuBUzHO=UUq~5+qQw(WKt$F2Z|?XL z8UJKd7Y0H)*Qp8+aZMxqtyoXI3XOcgoj{F9Szw;I)qGF8Ws78hNV2-kQ?YYd1s+-cHLWUGs+f-Kz zl^fBmY!jtU!kK-3&wJ>8_q*TkkN2GOF6UjI_u1d)%;ZN8%~ssE`}{F%+6>>^l=s`~ zDcIaw09$0W{0o?>>M8*P0h1S=uNPUOz*mV$hzYzPQ6*FDfr@Wc$Y}B)7T< z);M8OJNimo)aB=8yxeNzT!BL+AlwrDX0w`y55ta8Uir7@pMI1iD-D|TQ9XXr(g3T; zkM^yye*AdC2RH*o4zaJGVZQ+*Nc&oG_9}3UE17BIT%Unp1CQE79iZ47vaOxLIT{u% zg}WOsj9v0)(t+q(SE)mjyxJVg{Rb@%jK2HmN>3*4KQ@2FgGzR?_q|<<+ij*cA1Vck zxE4sxxMKw1S_b`@}kEQ;(2)zBM?Z=Hr`jDV<}#kyHQb^ycIepH{4Z`qxl@ zjIRIwkNOnTT*6H57k#cW@#W~AvBT3_Ln_>bbPS>ZY;K5t!fz9qE27G*Dkqf8kPc~g zi{~Db*jqk4=ljkqy9y1kG~~rB z5p-l>ZTq9Mm7f+`Po>!Nybe^v4{lwoW8pXM*c~;M@t1HH#_{$QXPz$Sy?>YW`m4aM zgF2+q+QO9kJD;_WauQtFnMDP)b}y}apeOYAT{^$Vz#xGC?St}Az4x+$*;Vu7g0_Xd zY+ouDe{y!L^|~Wi#wZ_u=0V;8d64hx>-&36q24UHy*NA~;rrb`X%Dtr)hvxsU6JWG zRz$5*&bTu1yyWqw+b`~o-x~dS?;nESdkj1*>pQ*q3qyP(?;PpHE=;^CIoy8znQXJO zorYm>Tko}pvr&B>-+Sq~>-Xm$3%U`o1ap1y7%N~rzRqf!)$o1xtLZ%}!tj`1H6doe zBIw4zIZ@tl$Y6hJwePucm#)4zbW|xCykVB_Df`aP2?y1R_%9<*k1$^0`r61%kZOAC zDyX{Gdcv%Ju$?ytQsWoSxS{(cW7zmj_bx7cV`enR#zVGI$6R!0f^Ke&8+)~_)umY- zC9B6lLA+8(2iTRq2PD{H%zWH~Ks&=Narb-LG65~+4!slG{2B=@q@e$mswZE>g zXpMiYyu~oJ1ZEn0CI#o=-Wjk|wczJuiiw>W@)W}(O z@OJIBOYo~tC#Ts}kr2lDgqycuXpwOH;zt*(XemW{8y_D);g`P+*UVgUGW2X&%j z6f2;}dT^h5f&bR;vWoz|-&))*8gf4=7$R5lcUU_k5RQiin=al0C1Ll1>ZBvlp88D* z+gl$DC|a>!wCVHAJHS)drhkM$*87XMPL4X74F^e6Gw)oLR|*VQx_GSnXnX(1r-CM|CoJ`G zL}2vLyNd>2)ti}f=jw>18gS;`4)r?C*Cc2Dr|;gwI@deOq0kH70C5JHwtM ze9rgkklqO&v8ZHPuf=uvX`Uf-inB{Ly4IZ?v3Mo2MkYnB49h>!yyCQL+>!LLS+4%0 z*v}dT279VsMZKM3yN11L=JdAIx|p%ryIWij)Ff34TQ6aP4J zY}aj}<)VNYmlM)m6#V%ggEqc5y>aS((!pqN4(AC!@@81JfA#XS^WE-m4O)^Nc%a<; zVI^h%^1<$}5_msk_c;3fg)_P@@nkpoGeOlBFg9;JH6^JEI`DxGN}vN0I*7Pm(w(ok z*HJ$7S)~V8Z|t7w7qi`~LN8sHKKrn^_R{?Q_o{SzU;?}~?<(COL{BR6=IrgCK=Sg@ z?Y9zs$nq7sooT+hm8|reH|Mum@|!e5d{#B&?HXS;2EHTRDSHtgv&>!aY)PXnu`Kya zPMW$w$rA8Bz5+$=4amie(syCzfFxEgzMkIGM2FsNT@>xvmCx%0$4_~}f!aIp+2*Bf z&$haVlZU*Gp0qRTKHoqJTj1+h+Rivs^4+_2lHT|wuM)fLXRN=tL1EBrwHBx(G_=I= zLQCQE_g~_dwyhDw#W#{3-2LNzJ7PDX_kR25HJ~{ZZn=5GqcX!~Sx?Zh1@Vo^&kCP< z){80+u1tb2;wM~xYq>}~y*KBAVWHxWZ>})FRquBXQs@PQMcQ@VmONdLEYb`;5bR*F z+A6iay?o#^e*{F%gr|S?&x0_{8lztL;fqUnkN||mUruhkmwW2kEGwJu1q|gVyeEyf zYK!{u@EA~m9zej!Yy9tTlJ|0@53B^ z+O+Mu^u-6uMU$gmCuF!h;>YR|Rquwrw9EHNf#|E|LrAm82b1}bseIiP*`9d8m~>&~ zpHIACh5jOIzx1j}#vf$G`Q}H`;Dhp(fNa<03kvBUNc;d>umQ-Z`p`D7-=m*4QZ06s z8RmIQf}(uK)>Imv+L`olgEuF<>i5nM2XcAaY7e%zKW7Fd^!V>6GCv9RPd$CwLUzW) zuZw&QICgnOp;vECo~%>=NMy7AFOJixs-&HOgj@dL(+zT0bij`YeFh|Y&@Qc-OLxF& zTb;cqUJdqeWbn4yl6GVex^(+R?X0>NH`Bh`Q`RKNZi&~2`pV$3IzH_xsDB6bGN=!+ zf0c9{`hWEJ<;51KgOuf>oN1@`UJMgthtG<>wC&-Rl?#3(!#HMbNHD0} z^0P2O;fANf(Wg0AKH5HRJ*(UKVbpCu&tv=A|Fqf(0cPFbZdbNcdIAK`i=5#DwrQ;| zf864EfoH4eZn7*>9%67j8GU=SZcM18&mId5y$%+_Uf?4c0zeY@@sWFtmnNspU!Srk@30IDew0QdC!};Jn97SB%SoPw)x1T4B zZN!5PM%R2@?)vJmVfXZhx9isEJ!m@yI{nM$-j3&?0Xe58MwOZqaoNWXWbOGX8IpD1 zGi+(t9bP=WMS{kO@g;}aGt5h?z;Sc(ahuw~SMk$YlgSmVg>TUwx=ze4F`eJN?Ltlb zsk*6W_IY!5Kl$ULL)U4Z!@9*$*UJgn7l6>+jg6TkHq3YD?{ZxjV1iobuOVC2n%m1@ z3+__>qvy`)taM6n@$hQ;W!B6138nBIX}Gmzp5}MKDfsf`2R6HShyeJ&n&eB*+xU8Tm;J)P*I%RW6vyxFtT?be#MXSc3gP&fDZt$MTfFQ#7X%qTFqzxdB7lJyzZgQkQ| zHLibm(^a1BUMO6b{lUWPW5=aDhv8P5f{9u}5zsjgZ0;XmR4K}aGfrNuY) zZ%bnx@mmO9hb@~^z(h&%(ioMfzkF_oG>bnrzhFMEva~mEV%MkA(p8&40amf*9GOPO zPnqB1nC@=9sBv3>CRExt7WSmk;4r=B3Qx~yl9t++$S{x;5@ zbFM0AX19Na%0m#10!@$ChA)}((Pf?Q2aQvYJh!!0?tD2rsx`JdvIcztcNWg#Zj=rO zjpf7`7xLwU&+})S!B2t0LDB?M-+T(l2!47apmnC@Sj&w*jF>_kMpl)xpd|45NQ3dYFZUP=Et6ub+Z=7o2gmk zeieDN**3VcPj*huv{js1QGAXv|8n-owz4+u4SESSeoY$}x1VEoWQ2NNaSX#h#1`~Nm zCByu|!7qwCg9P9icp!4C{{|i0>$ne53Fn<&w&riY45s(a&Zo#We<=epG!43RbJWcF z%MZffK6CmA5zua{P@}5f+Hkodhx&8~=24M9Ly7$rPlu7JPe9 z+ztU;Y$KL=;c zSJj(UJr4DJz1#z+SicQ2*?6EyMyB zuDm?o{86IC_7?vUm$wyfu{~9t^fLMvsNeQYBE1+kj+ODE91asVQM~lP&5X?++>^d( zS%<)T^36rCxbREr*wE9W7xldWqRP*o!Fu&7boE%)GzLI?7eKs$&#B9JaS1vw0Z#U5 zuGL1$@!dF%=$vV@FZ0R6fXo@@4Kv_7(9zbOC+ha;=iw$dL-=zodCtCm6E+Tu^zsQD zpY|D$6p{I%cT|2dkon68#q(DI6}=Jw8Lfu~ypgN0)nMtr19{(=IQN+C@Dw9;^AA3z z%_6n!+sJI|ncX8ZN|%I<6oDro@!7YRfyBJ8P>+5t_8ahtaJs%VVjH~Q1_K-iKC+C{ zK1Lrx;5zu-mVwbHAJq5W1S*@q=56JA(#4~;C1;k|1A+OsWBwiWyhRHmdlRaAuCK(v zxi@x`;j)ZFPU5I^#q5l(6Hxzby$W0~vjXo2-2Hgx@a^ZF3!Ob|T83pu0+}WPEA~w* z^nP@-82m?5&Rny3Hgq&s%SSccmk^x~9i`lS$-iqwV06^|jFa~o_qN|2_&Cmu_I}z{ zBnseD+j7583O57$_gU+6$Bx^^nVmT>f-DA=YFMws=ezL>wmlsBJ;XCB0~`qX?kG~V zd_%311Mh9+9d%8xes6zkwO2@Oo6EeKPiCCXR#Y5QJ(?8jT0O-%#bSK+r?i5m9MUzI z?O{9P?RMnf-O+qZw=t{JvL~p1y3+(fZdFXsCI2$()ho`uf1Z%-I8|!-srXW3oYs`D zhx4O-IQcK4lbXUV@b)Y$PQU$}@Xh9puGm@C{=}Vo%==8V?W2@t{^+v}J5+(|0;9LV z3dg)#HWz&>td{cL^TkIr!Jw~|VY!ahtN z{VgEjDuiRseX>Si2n_~B|5NQA7@izZ@pK>Xa$Jx$?nq~!PqRYIti3j-a~5(2`qd#+aAwLyfd*=?7%$n=zGq!+OzzqJ(sunZ*}8W zfyPok)Vm7TkVwac`eb-pP?~$$mB}Ek$8TB%$1DQ#mc{Am+fzF$ z?l?Cru1#vMpHUVbN&2U^R5d*@JoUo!Zjq-c@UQ8szP}uL+&U{wMyb+U)v__h!_S_i&7oj_Ef9L`xoA3!CaW z@AHW5uQum6ycB%9*zqE0CVVu&kjWq%X8mlaR)x2k+Ade?A?uF z>0;iZylK7q7iGt#YGqqjyn+edpRxyjw()yL=xCjWG-}k_%ku|6xGej7u!8*Yo0YnB8}#2*IpYxr|z;WW5PoCxT!JmaI%H^>6m#70SBgn%NrR6KOj8n zelg-y8*`nVhy!u9oB;-H@qYj#{1CEknNL(HFv9&kc+?M&B}<8_I3D9P(HZBW|KQgj zMwG>Qqpu+9GpZiP^|%qvYn(^?5lqVn0r`gjz*trjySN!Oz>=NW9D3J5(IT_%gHN_;o$!%-b+2t@QsxI^|dflJz@IVIS0-ivHNq-jsowiZ_AH2 zuXtnrC?OrsEIZzw4@QYQSHt^mwC$|ycy+LxZ(8vd=|qwjGjx~o!v!M>H`He+;TQO? zN3RA!vcJ8hSV66<{Q-i=!auv@VASoL+xIuv*Q~!DEr5Cn)OS)#YCl80vwybD?z;=B zESA2qyY7=V($(D@z6;`5x~;so_>ak==X3JLH2M$6HxCrJQ2ti(>7$8tuQ_1!*iHD1kX&``*o<{$9R93VF$%sv>~$Nc}3v&zzX>4C4Z~=f_;Zy z0h8MKB6RFU>8Rnp`FQk{yXh%e?pYau=~pK6dQ0{Ow#}P$E#6<*ge?p4BreZi{is8> zv?oMwL3~}JJ9om^DQ%XY3#-Bmvta_amXlH6$8DW;M{rZ}p*ZQzyJ^cQ^d*=+_XZXQRQ2N8d5zuoj}_avOTDiOYf&h$%K;_tq* z>TaBd^UE()&-E_F|5wn+ttBVHMHK1Q#f_SkJ(_ePI-UF z*B0R&1{@ZDXntO)X(;!%D4EOK=-}2Bp9l-!y|~#__M`pSy~X5|H%I4&j{V{9j&J@U zFg)evaq{CWU2@CqrfI!fXLzBr4B>bIut{_r-k8{W{7?F@L0y-!Tv$G6&z;MgqPs^1 z0=BBP7w+~Q?LxlxO)>gsRLgRx&kX`>)>{{W&f`1Q90WJ*zYmLd@k3|gn=kht77xzu zfC-$Y4~x?+{z?#BI9sMD>Cjx#QsDp~R$ch#02xu;BO6&tm-4n$h&I<_y!>DYD-^!C z-L$+rKlRrM?k(T&d7-Px^0t}re`T%YIs_U_&&iO^zBjYeiZjRyKG$D)@7fuspprHh zI&9`YVK<*%7A2Q9;L&x0N_3d(Kc;va1GD>niTABv9bg&}N?#So7Ayzf0Y zgs5-0#!O!4FDeX}`(pVdJTF!@ck!@CXEPKj?J~hH=R?5kifA*>_;`QQ z*H*_#c+0Bd!wvC8&(3tPh6e!^#V>I7_|e=t^P};k$j(>7bdKc{e`z@ zC7iy}(y;kM(EfqbKh9jgYw1Q(+v@JPIkyKU0a3rVQ=|rwO@jQTfMh>|zhuy0Ct&%g%gqLc(4anKd|Cr&v{oP|kl~sOSZ=vG zZQLx{aJ?A%3cMTk@V*s%-q!|H;<(MVE*9RO2lY$kWL6ec+)xVN|>l1#`rdHh{@+tM|uBQ}!G9_>w6vYR;?4+?1kc?V6Q*(*+i)y=}+j-w? zTd3xnO>2A8q&BiE^S|BQ)h$#^`+DXFP+WWQMP`r6Yt8w=YF?W&Ql+PQ@Nla``zLf;!ea=&J%BqhbRbE#` z5hTXS6=BYd2FykMt%53)>IavIl+-M_-XsRT2rK-K4IWT!YT_6;Lu`qe1)7nyO6Nda zY>kzE5+B95JtDzJu{z5>3*%x~x<7_R1w4*5_Qf#AfV&lbz8RD{YpV^$9ab=e&q+`x z)z#IV22|?@W4wXnD~W>IB4r0Fs7X?d+__?=YzQe6W0tyn#_`lxUA}3|tQfUxsWHSS zbu0-I8)sY{Lgq-+xI;)gMXRX!I>u#7)Tgo-5_J{{84M=j(oRO42;HA+kU-$-q?Wpt z6GrwX8j`DJ$$X4Uh_Q49wMIUKye(mH^+{hpcu13D@fr%15eXJXw%%pN08 zBx0$I6f-hQ6~W(uo5sEpGZWdkKdyG%oIE6E3EzoXi6M9}Zbpif5#)Bk6f?3xVM9KW z_(RcON{wU;UJj6Ami~M*@{}|YkH+^yjh$2tAAtMeA@F=qYDTV5sHbTq6A}hyMw&n? zMha?W3-5Wrdz+;La5ZS_2W9J_^)!XXHO*wrt6G^u$ULz=>8wNx!e9#o!{tUo20XaP z2MblyTcrW{Nu^KfD<|U$>LBc8gIL{i7~eF`fPA1b{15?vC8g;?BR(f~olr>)kzw|# zUS*Dw8Z1-UYcwjU0WvnJ8a%$cJ4ZXW^b>g$y^$ux?8kS1&gl_3kk3@=xH}+h;sj3- zBNY>*EEi$r2nm>XFfQ8vGqxC)1vn7w%4GRM!Z7jpP|ZHP@P>R`0fP*ZYg$+|1VY!V zq{c<1pW^%s$=O9p>V_2KG4TkJp<2es)>{15JmV-O~}_N)5a-}zU2d%k@rC)P9|pgI7iZ6Ng%+VG8IjRis-ON{48z872ZjTb~h zm8R4fhUH6#@r@TxgS-*~Wt7weDTg1uI27`|5>~#F@{t;cFkwxbRCPk55VX4Gs$8KV z-z-LtUl(geE>akTXyB;)mI5unjLcIE4lyHd0Im_@L44yF?@|S|RxBv}AsCR53 zR-OW&S5wo)&=v&4cIdpZ^8GC}b=(km{=xJbzbR>!pC@dM7eIhrU=KK8&>idMP-7cr z7rbDFf_f%CzrqIkdLsU~!a58+JrJLeVD17Z^=gJkLmtCPr%s2*4Hi?=WT<>azK(!r z4%u)z>ycmjiS4JuLo|J$9KoVg!iBY0N(S+x7u7AA3?(;dXp~BHpkylKv*>(*M3bMg z2tn&2ozIr2@#_|`OVNVRLM&mzBOuKHCU*6^I;(_@aSuUa7YIg&S;fv)*QoX8dxxZL z#hmbS2HrcyQ{s-({|LTcXb+2GaL~tiTch}xFSm zD)L_|8&(&@n*uB2_OCyP7XdjFI`^NwXVz(acV|q58r{An3n4FH1VRCmE^tBxYC$|T z0Nx?xNQohP9Mnzu*I+tzHoTEg-XTTcMWFYDT=U;K1p1ZA{{_7Rw5q0C?F7)uz3!PsDNr7n)JEsn4)mW$E0u+R5zW@EjpLw8M5LM<@9 z6k>EDM-UAgf#8qm%^1)%1vZFX5X4nbVqjU5Y9_IRi&HNGfBN4TF5Tj2p%f z;9MvY{Xa0{0BvrRAXr)f%uFB`N9R5Z|3j(SrJxi5!DLk{DJ?N(pV^?K)Ws@$W}|{q zsgN)@S1qSTD(N?197Y+tG`N^v?6??M_+kmKk1%5)^Aj5pn*Iv;xPnMn*9Kzs4ktm1 z+%wLM)Kl2-u{`6%TxlX{#rM-TjziFys$$}#(1H*2>b_IRfeEb;DyVFLo?e53x~f95 zNkLswRpc?vjLR9;z$oM5N*%~ma@Ym#D-mYhBf?yIY5*w|gJF_q6uSIDp~eY=_?Z2W zayD*^1En5UXz~XHBbETzr$9)xj-cu0!KST;btS>Hf7mct+u?o^(q%~O0yIfX zWeZ!VpaNBWTPRlALvF0f5;o!wY2OxprOP~2=1{g?hPH5r;$y5Sj3dy1Jw&*+Ovb>6 zLV-dNv&;bU*;2zW25tx?-HQK3dp2y^E}DBS66){Mo{XmNg3MSEY#{L=&XwDOrkeIL=*eMqU9ypNMl;n2}#41`*svVvxGWXf3Wy zVn(*f#4)xD8D316T6zFOawW*y5GC{TZf4AaE)fj6qfg+nu|#ztO!%kJMGCk*ECRDq z3pI#CDQ@C4SQwGGOIHw4gq81t70IdPg<_gEUMsxA-GzusUMMj8$Qq1mA19}l$PrWY zmOCY2PP3~qYb)F_p~>&1mR0RMv);T{LYIisKq>#>%{q0?Jj5U^#i%?|=u9(64xQsb z4#D`6%t`=E&Kd&-F89D0o*K@tYdB&5y<OiJ7ii*55A{F;Hkv2@}PuWwExO6%|pg`i&hm}9M#HW6+ zmB1a%@O~i%*NQ?`!7%=?5KgR#-|*^afXoC%u+Z%E_bP^g#!Se15jDFx3_GH8H^;+7 zF&SpX@{>_{<(k2|q`IsxS5(fTwFk626|Dep7{Z$dI7ayFv2k}Gt)dUBK%F%rY z=~+Us_YM)D@sLIg=sICScwm@Y?EYMC>}Z@rPEx%VGm}@vswEEx6M{qHkY^=k$Eqhg zfUeIW$Eil+%;XQT9C9?&u#+|V+l_$SkVFSuGa0pVQfVavvydW{<&o5t?;6%8=*_BK z_^Df6h>X2XZf9V64sS6oH;$Virv}QoeC9QbP`|2{X~72$Ajhnn(vmLb%cLt+=` zg4xj`^A>7=a?GW5V+XQZ!p!61h(#e9@uqp{OIb=j#+wXe+o}Q^c(?{0NnG7$DDYMq z!+s*5`j>U)4Iwwn)Ohx|l=>uJXf~jFk;R;VIsPb5D$)aVsxhvd(i8WJP>DzZBBgt= z_BUW8L&$l>tO5n40P{u*FjGPsHwvmpR*}alg|`4Zr6RCQ=($wL3W1M{WXwFw*Lh+? z2zN=Ig1RM35IO*gA4FD_Dz3;Lmx0hg$R>u#{V3J9?hqk4{tFC2R)@pWSz^MOtk7$qef56ojb0F!i%GYsL7 zSxPWv#YD;hN8@f)Gsw!1NNa)K(H7D=<6YwI0c(%n!6+O|FIPb+!Q4}Si|9y=bOo;0 zEA7(;x}-=O=&ELwqUrA@h95K(7?Z+6HhI5DkBl#6ll~!v zM?=_$C(siTbk5I}#%Cu!Wkjj7UEm6XvAa zm3O}%@3un2pB-?>{2A>!?^o$0rfy3T?is(j08CbIAns72s>it z#gX_4F>94RI$ zju;7kRU`|C3XyYdP&J|}Ib{Goox}-_I1^YU2=Gj`6Y3}A)M6zI`9gL;UEl@LK3D-_ zip~`n*S%nWE=JCfs)5b5Gm*i2na9Feq`pcEU~pf|7ETDp$W$e=1lGbz*1<|{iThU4 ztzZd?nBTELU%o~*@kt9q&%5D1i;JC5P^ZOQSm7*K$7JC4NCq9DOVFAps`}Qtn=V0X z9jDSvo(^sNV30r_TX@0<}s`o*BtOzfKrV-R=7BihckfvZcz;8v#te**<;nOTH$IS zOr9$U=VUX@L{M{1NQp_w7L(*uqiP@QFAvtP0h5{!V`+THg7hcZ^%s!4O2dQd6dq4QzDrO_a7 z;1$j97;Y8fj+|Od4p}EB(vO)6bY*=rtCS}T_4y8X3UngvNAVJv8D>4`KQlX_PqG!5 zr8ZX&Q0s<5CpWIt22T=UHi;r_{+!rLz$>4EEDH{xy|38R37~=cVc27TWTj!4pPx05 z*}4LZd?sdE%xM5Euk^i6N0e4h}MAsTSm5?dN@ z5imWgN!EPE)mR)m#US*_d-Cbf&od=v?+N|r{SB~5u-4;7V;uX}8VsGW``5a)f34%_ zwSub|b#?-EM#z7zbcBK+j}K8JuM?VtrM3Y0QX~wsg&0m)O?m-?=`_fxDy7Q)M& z%>NMFmq6G1pzB4@^)Bc-Fb{K{`EEqGjLMgv{FmMx&U1l*62+*TBMj#`L2lFEImBw! z|MkwmI;%-KmcwI*1yhuQZaG`1vk(f*prEJ!RZ}27J#w6ahnql$S^w$+Y3Xbz529OV z(1xLm8b{|=Kn@N2SO$8PCl?1lDff5Kcg_&!PM8bk$v|oXd&R8hOLvJ`-=i_VJRncS zWz0GpvmXNhXi{i_E~=uYsbcfFd~ZB;CWnj>XHH>wsynyT1i>CWRK$Q4`CNgJUPbwV z2s7fl4u}c7vIHZOQN$*>Vfgv12l9GVaD+X~>-Tuzq#l+;3 z#v{aP=m=evd2&l^c#1|bHEhk zHIcqkdX19GA#R)+s^20@6&Zu7aC3)=)1ewQk|ihVPPw|gmTwX{LUHj9RwEbgIXiex zg6`NGYNU4Z|6yrq8q4v~{6;L}IYL^p7p>`6H z549`gz8^P0ZQ72FP^*3eBeRIlr(Fzklw{i>D4(_C7?d~XU}Oj3lwuOFM)J0;3d&dQ zcnamuz}N36t!Zn5k~KT@8(+bF=?;y?=TKJ;@6<`}w>3gp%#L?Zatm6^q{N;SYkRTc zN*hK_+u_&crcVS2x>Ix1$WzL*ZCLpNprjL!)&OpGNr{&!e#R}Tb#3`Q&jlFC+mYY% zQXuGFoP*SG!ZFp_uw6VIdeVcQD7vSKIV!4+Sd{92^3KxtaGfu0Y@N#| zfTRtI)W{3c+EzVsuFO^tr$*}mYUD@hQ)p%;d(t|Se)+cS0qRV47p{9{WpHhg6}KwE zIaCPGM)Cr<4wdJ@HBf$|bz)!FLOpVw^tDKh+$FDpYrgzKE7B#-!Fx6GGjP2lPlf9f z`6(#hCnZ`1YGjQ(89MnYKMYp~#er58l_J>-&kDsZxH6SH;Dw#4?Qq5ATi~@;X#!kF z$l~F;RK6CjS+dnoYp3`Xu8!hma2+rH1+LS?i{RR-h=HrIWG-BfDQ7|Z5>*sDFOg1b zRSKwCvMG@HD4hfYSIhXVpvo(Pq0s?J5R})c0^s^aH6E@il`l+4EFBFk=SxSTiAp`- z$`HG^YSHV*oW^%Y-OwW!N+W^V_N%O0?Gu!gP{nOUiYC&o5JC-tG#XMIAebPoAjZao zZyL%;Fb&g?soR)@g1Q_tLTun}6*m-)HXF1|Yh_d>?OghgO0QGrfa?YKQnzV+m@jm| z2SF~E&KU^paXm1t>~V~2Q(>S>3FWrnTExn2Mq=E*9Qr)%e|ceC@L&-9GaRNZxlu|i zKV#;S3`TS;K2WUbj*)pPpZy~v~iz6^=JKUKM%v@p|C8uT)QDuNAjWnYLQO(6g+dLDcLB?oDz)dBT|An?~|!J(7rIlze`8$DS+f<*3a9; z1(?lO2;%RPI-rF+|86T7qV$bJdXqj_w+t_Jmv=SD3f3bVOYKNaC76NSZ~dkqS)j$; zoeL*363jlTh6(Ft%FYsGmFol0}F;ZYm&cmF#?T^hgd>ei9-%_p%0#Ho+COTB??c98)W#>Z#~dW2sb+ zJO(n&AXtZ7r}XP0c#DCPjFbCy-GL|V4^qllH4wJ1RXH$t0G!m?^B!|G5X$X7TB9f}qLh981-O-9T+H%=`=N&J4!Z|(^GhAkvB`c3z#@Bcpx}5bd+423*S6w{?EC@81NqVQo;13IKnYJW61gconvs> zWa7X4&tQ~WI^YAL-LAe9ATUcD1h@_qY^4h(2wmW?c>84JI%1R0$}p}3l!O;BLBvab zPLfsN2rw&CFu+%;qOPeJ038)|8SZv)zW{ezxc?4!8@T_b!g$tjKLvR!xF3hSCESm| zod8SZ9q-vDW`89BIsAl-%Z7}6_9&5*u8>h*>Trch_GAQ?fjhU5XsA5s*g zSV$Wo9fEWYQWhj2KwB4L?gawJPNQyWKEqMBT-}OcnbF6_i8I%gmR3!eN_;T9(@W!P4TLi5T*0;@ko zh<~HKsDN4g+z+-Tx$h402g<15mF`0B-v*~0>8e2bzKl9fOw6zm*nuC=IZiLclr)k; zk~4u>C=_rC9-Am+@N~#p85gWHDRoyScpghI|JL-ojyC8GkAY@y7WS_bEZ5Aqn!n)OoZ9Bou^ z04~c*f}zQ9Wvoy}MH7QBn%fLhPKIY3T4VM`4J!N0auv5CPnT~zJpfv=mC;Z;ikNbd z}c?ej>?O9`+qH^zD3BV+?RSwduA z$oX7Q!*Ua0v>BhPLnWj#1n(X zmZYcrazqk7I@S;Je&S8B8p)`Ik60sBGr2*G0T1;669Eg-Oijca#7xLLiHl-)z%vV; zZNv=%v93E9xPe-PEEc@eU^i$JrlggG2ZIGeR~G$)>5CouOAMiI2edOK*-(etsEJ48 zmSiVbiCU1$kaC6Icv6T7DOPFWi8TAZArZjNi($f9u=~AIR@yLWq8Pbth{{WY1n;0B z#zSk`AWas&7grpExwGr(`LC1)3t{GvL$m)y$_6fB%!-YeLc`z>@G8i<)+wgs8t5G{IumlCieZ}s&&12iW&%TUuf!u%E7c%& zwkhVM(O?jZaUXkV5mM?S?4Zg!Lb{Iz*p_MsddL7V+6FYuvHdF?u9^ZRNCt`3jfvmJIBOm_MMrdfCi-N49ZITG#J|ji3>{EG%0xC z2+YbpoIwn{juGr@de;YE<>Ch9Ht7jyvm25D60S!v9@>>8&QIRK7oM9y=!%!5fp<0|!Oe261=xi);L8m`6ePTc?3`|Hv z8m9Ux2YA*}4#%-%gcfB`HysM-N+t|!LP`{jWQ>QUn3Bn264)yPo(_s1gIp<-AgOXt zLR(XNF}vq*k|Kj3B4D-D3>lUj3OO7g_`X_3O(H~B%}3FW0Z<|(z%>!3ECvRRVTt8^ z!owOI3}*ij9yh^{jF`7E;d2cM4-5`N1_DbtqeNthgvU;)4mlmLn_a{L(Qr-71+MDt zr=*sa+LG<6Parnh{uUO4mFg^mf?3et2Wc+Muw5EK`uUrZ2I76iGO7u3iI8&?$3m__ zs)ihj1J$@v%0b5b0J9LlX$NNCT;mL0CZoke z`NnjV0~+UqB>JD{!~;M-90c7K(1T!3jnz850g%t`&%cH|Qou%vUjSO8bD{*0DoAb{ z0~CJB?P$o`D2dD0w=!+W-BQ9f>mEE)`bXCPD^Kmk}u~249tX+(fKgw}KdyV{5if$w)H~G@AsrO5*TX#`U{B z*xI4N{(-vWJ|!_ZCr&UFVk9+#hmzs)q2v^aM({v#62wk2!CAv9&4THrgZY?ik8!i% zIj&#;J}+c`n073q$7~9FI4_22k9B1yzW;=g>Z8`4x`=@r7v?w$^sy+odjJ(ohr63< zdKim-a#S&S)1bumr@B3@KOPR97DYfsIjx1F)1tj_TEvHvTm2>5tA9o(4JOBy;o(1v*Ca^ew+u$_OQ?u4oBpTJGNXkd+6kCLZ?zum3wULeiF9T!8gXjLAA-!lc#iWO%*|=_lHty~xCv#L-;CVR=xF zOnhBP8( zf%FDK?iHQuBgDbvT)9o0cd2=t9Og+o6TpdpDCzr15O4pWCU+t{f1O!21W~+(@a8ul zAGF@y4bpfC_#x*(udC!lW4@pMQsv#C2d{>bE99v;RE>}?lR8|QLAFDX0 z1jJZ|IqfUQz!E^dT5o9Qt@21n(^9KdQnO_p;3e%=B6}Z=iRSRrT@tTSXKjMS0PJf( z<}TF76<7jVB9@rKa0f$nz(7$KpbWo$BUCdY`Qz3;V=K1(ZD)=dPe#% zI-4FHeDL7U9}IaGop%!Iz-&y&*)W%h@=tjx>Yj?>F0DZ}AZDq_w~K?2L#~)`x)tF$ zPT3dYhBOGs+>ckv_IMp;z z7#R&JDvg+Vd&itbH7CUCxr+qzJ2z@MW+M`O+9(EZoP+rr^K8{kn7} zz69=9q*L%kaLdQ&>2ebhFlOobga9a; zA@zrAkEE|gS2Xau76TnplmH(b->q?@nRrqd;`Tm=Nxz9%HOJJoNvKu{619aA7G3(H z?dMwp`mM)=f04n;vK-6(RtJ6y7~j3&hJ<=3IiG;|LXQMpPxOy>y{&IM`skcycR=rm zEuI33K&%k4#-GTAct9$Y7eRUq=`|!7Bz0ha*73xbgp)j5`d^{(Ca6kkkIJ$q?cN0vC99 z=D*%Wf|CcWyXccG7Y5sdFvWFu{4Fc>|sMHEwF1|7W-_wDW1*7FI7 zJ-Ga~QL#~|8{e)167)MS9-+6kYW*aO;qlM}xe z!+-H8lkntxf1GP>4K78@o>k7pQ6w_M-G;1J5vC=!jBLWc#DF_r#`s`BURGi*$7&ch zsUkDH1YF5AB1bsOwtV;mX-j=+j2_*T{v6HDuNDVjyMBMZx!`Xa$4j8{`b;a4qbm+VcaidZi4q6 zP1OjdYFmQ9%Yx(pVxbTS14Z#85rT-~B8aLbKorX%orQ!P8f|bt1Vk|x5?d2u-fiHE zkA;vyQIt#Jj^s@VG_r$ExRAa;LQH<_ot(|GsvOqiI2#rWwBBnZI7+0HY7sIhMEDjygBLL_^Vul(d%+v}jU;QIV z-5^d*9fSUzD~LU1xV}KC#|MzZAt}pmaIhhHxRCg(%rY9i`7?40IkJ#CjU+$nja@JS zEDm3=ZQO+@%=;=Gu5CoFxDyHG?qcJ7Loh$o^QBZWn0CeuQtA-+c8waP)IKp0T|RKXx%0o`gtiUSG5tRSwH2ME?Fb)autsSR92o9`jk=fV>x+@N?t$PmlV zh?PRWmdIna#U%m+}YlTokkr2jU+aRI7(4pcII6(Os zVW!9D;l{B>@lw82Ji*!k{M3;OE4u1o_S;7#K#pJ^2dK_Sv zSpx!etuh?|{g+agFUXf@PBw;bz6OuQ@^%MgmTTZFJ{LFNVE`rx16Y*-X+!&M^+=~O zZ#q&qQxgKg!51qo;L%9p`x=6P#Tpjgbk~_m@Lv>7g+Vfu=<6|bSTv|iLS-tA_(LOL zG(TfkEUOg~3=b*f+^-_}O-2@|k2)Y-gS^SuYDN!r_Fg^X~{u z%yI}>0ui4O{wwK%;AN~Fg)je{6uSUo_f<>_%LaXbYKr_ro?e&%dFKCtu5R!T>Q9vb zS?4HtUmLP7X#Ms-!Ta#HCUo#V5<>{gKS7MXIFL}D%Jd?CxOXcui&WMKtWNNKfrOe0 z4q}zFeYwiI&mD};s_vD_DIA1LTQ&FvU`s@zzTnZx0(gzBWI+hVC;&4H4jA;wEZ~U) zY0w(JM%`lInU+x6N@H@J49hzNe(OVA2%7<@+0Y!2!NVY;EwYG3Zx8y?z`MYde&3CL z|ARsUx6cQ%Qy7y||L#FQj0-(XVM4SI177!(+@t}oFIf<4Ob!E&?*P7T=)Qoy4>VZt zy#xw?1^+#72Dl}e8p-xIJk(MaB#|YA;%whh2)vw-_c;@i_oxF z;E?tq#CP2nfqDS%e-L4|e}So$0xO?gIYsCH2>bH*CX4OwXR@^EMgv{x0%_8g(gn(1 zXrU{iNeX2bL7;4svS?Yvf@oS00YwD@3{V#YQPyi)EKm@O3tmvsRuNgQ0t(c&icb;9 zp1j|Al2o|Y-{<{fo>`umGiT21Gv}Q7%M|JgEDQ(R+>xVS$cSM9PWSYn=wu65FHu*I zyjfcZI!Ev&p72o?Sxo^ik{leFRd%qbR9~Wx;NNoY*H@@_!L`HLQ;$^7k$4brN+{`4_bZ@&XzQ6|rUd z{0QFed{Kkr9akidcvfRgvKn(pZC+Aimf}Mjf4}(NjG5ia>TJf3&Zb8gZT_kK8)Pa! z)tUj;^9QZ{bZ6Q_M7RiV(~AG7B}Q(mNCmc1Tg~p1Uu8{v%>g2&SE@r&hI`1A zd-)^^`n8>rDT2oZDadrD@~A+V=LO%#m9>KJgq@M;8D}-(f9zmK+!0vUg2XOk<5%58 zFFTF=SBm7>PL_jjN{>t7^*eRZ%cD;gBGoG-&blr_*axo8p0M+-V4YkD+lxbcg}ReAjQ!A-18dJa$Y&U) zU71uO+rwG`+>sK@`EcjKt%v&uxC`Ju4tJXIr^Ydb%Uzngx&4N$+nFb6dQ2OK3kV4L zyC&cqa$*hm2+GD`pTk0hk+wLT?{u=*6YB}rC|&Wr@II029+)QBjmw%+J7v4FJAyLo z#_FaRQ8FInYkFc2DO~v;%&d5+dFh$zQKv}Q4rnSx9kZk+?W};Z$ zoCU&3Boc1DSRxw?qXNfjd z-MZ?Sa;ZA2zO(MT+H1#!SIp)SEAmo%|E248vh}U25IDA zvItOb#2mHJJYFtK597ac8lU)Ke0Vs&(vnWE_#r2-6^l@| z#0}?tj~lyOObY7Mz|Px)fd4KjcDEN*qL=K(1sA&wJdY0hYm->e1;I72QNtYU9wm~x zNMz|SvO31?^o_80D!ykeGnqdA$awl#0*j> zZo2~kA-aok=8x0V$D9}zF(vr(i=9r>N3PyDr#<8H)(@K|k#h*{%GoBo(J>HA0+K@E zwkbD^zkkZj#mD+>SzVaAllrF?Nf!wYynXm%#y77NlN#e6R|bJs^*VMXsuFh5!ZNEs zHOHeNZIMcjWM4f;M8iiK{eS8v(u_1F|FqcPtr#Yr^Q>K}L0t&rTR8ub4eAG+iZc1B zb7#*EW0l9^%)w|G&i@PlHaZm*$ifr;xDdYErYQM4tk^CAyz!WZ!uhSjAFcr$-g(|M z*>;Hm5ekF|YdRvVDDXz;EdVWl1ZaW)G+9V9-;)Ls%tO6t!i4`(!v859FcrDp|5D+< zzwlpYGcbG~#p=F|QNb!?or!YhJKb?uCQPX57FK}ad@uZwW4nrlDcV8v365j`ezf@_ z?SJfSh6o3vWjG%%#5s*PQ%-OVZc4I?&~W~UV^@8kp)d|k89=h20}g#XOR9j|X=Nil zYx^vNV<*IgEm&-2=km=F$Hrv9K;94ofPlv1xAqfA*LVju(G}o{aoe~m$DkKy`8t%qq($l!+h5`5$ zLM$U3GQP!paV~2lqamIFcL8f8^T1P-4tt;w$ACC*<@&&{5aPTg{F`Kd)0~>_6D>CS zSJi>nLuAA$f)TA#NHa&^F9_$ua9qZ&Ri;>2AFrt8Huh61)wrBz$7BYVgzY&hHIEZ; zZ@O}Q)j?`GxL3L%!SD{mBo86h4disAHlPEki#CS18>vnYA%#spP)gD>q`G;~`38%4 zhK?Z=_;mXl9|4^V4?0}KqTJdE%y5y^yV&Uf@Ie9;e0b< zxhb~pA>>ZmIw;n&DoHOg2J3<}47*E0qVr&ZVZ?vlgX3rBaQ?i_c;MG4!;XKz2mCe2 zXS2PTOfACmu{PG43 z{3AR3Weuh9@96OBBO2Bt;8sUKNyC2lW5*f4Ywj;vXEVxvix0ny`Vd38gA6QjA_$bY zOkXyPhcv-&+e%`Eb%~oL*M|>pD8BcfMQnNrRrv71m^MXvkxo>&vm}T=dYo;Oim)Cb zDtVo_NQHCQC&AUN!c@&QY{Q%{tMlg#!l{YNbiP4W7XV2(V@8Xj%QPfHzU38=UwvS@ zeo}J6IIks-Y&9Nk8J_o^(;vIpOE@9aV5iI0gj4+$(jVD^ilbgoiw#UeE=C z7hT5fZAk;0kj)L0Jp@gK;rJ{3e@r2=DjFW$l zmxVe@1kaI|eh(yMx6$}Vao`Gwv^O`-fz0lGi}B7Mqe+o5`_34mGH$vPK_ZO%??e+n zOcb!|<`CID*z2DnvQI$epB59@v+z%Y>0C-= zQhuB9;GcM}-T3c6XM_)*No0&5gAsEJ@YkYDokESn_%BJb(XV}a5+t*euH)Kgk?wqp zXu>t~@lC|Yco3gLz5j%P6r{F<@mHWopuL1FF}AlyXUsv8?0X|VfEY190nBblV9Hd`&O@uDC5Xy3J6A}$pm+undL&NyJ=4pX5)L7WCO#R z?OU+S8g>&ciS-5tu=7@!6zF&>NQ$@{(9(Q?^>2vBdei%?Vz^cL>)_@P?ycCJfUyx_ z5`L_3ZN2|rFR-TgEHU!>m6}kT)iFfM0vyZXnGbxPF&igapCkdsPplE4{e-_s*s;7Y zCX`p=cdFU=yYBkcp`|AdvVP@zdPmkdvl)q;0187&E%I~JjxY8EW z|7}FizV{j$i-dpOk*-TG78%op#Kd?EYo<{CDIwu8dnyvvBH>3a?&s#R9 z=@loZ`l4MzIAf|-tUgzp0sR;@yQ*2jTX9e9MgSeynJ@alX6)-q)CkQ3O~8t>c$);a z5V`%}U+7*NVs@^?!HwPmtFD~^beNd*6&Y-Fv6u|>7x+p!J~uLy){058l1;h8pCPio=vYZGX)qS}aU+b$ z>z-+=lRCvj>;DVZ4Zovxe)0?*Dan^r%C~q zh1@~bv!Jg*jTqF7_+TsO3vSda4t6+Fw%TR-%w=irHLCZ>bp50wp?ohh6ieFT_k7>N zsX}n>nyjmU)cShdUHoM8YPJmFms>;lVCZwn!Tu_g_p#=jWX!P&xVu@CPKwyw!bm5C zY(-!z<5c}hn0lix8Y6UE+#88{7VQtqzWPvHc!uzKF8Asp1sh};zXz+{VBFdl(=$Fq zHK%?VkumIZP!iVcST#l*Ysz>GrGbqNy+7uSlp3N$C1~X6bLD0+|FbI{hmulM5nB$r zOa%?toj0)b4M@i*LgK(GH$tX4mFj-00`jUJynSSLv{`k9EyI%RDaq{c6tpfQ;h;gS|NOxv#%xuiakU5C;zoGh%n-k1Mhf z`G^qtM?}6r4TvyvG^oLv>P*tf5Lysy+Z&2TT{16(oNsMU|WNZz0Ze-c3yl=_pQkC`L{dIZ%-=CFl;C!R%jsiaEj zj%-AaqnaQxK(y0FD-eCaN+J3gTN0w5M)WV8Ec#kR_t_DT=r=9kaX{UkYwVB8T4S$( za*TcS#~?CB7aHcCCz%Y5T{+7TPYT1R$V6O^e9X>ot3OU_ z`74**i91Zc(CRt0* z1(N|Jhq_qc6uL-GB4Q^(%M`;j`(E+~YTz1YtEiFjg%$$MBw=)~oJ`MO0W3_wj5ViR zxZlJKzTsbl9wP(5Og9bS8s4dy8YLa~EJL-P9R< zZ7S^(M?wO`e1$N(I80fzIF6{OPZyGenW%Rck{|J*i-`(@f4cD~kW91cYa+o%wx6!Y z&&(s9j2MDz;Wof*gLw_+EtvOV*a4{BgkJebQ%j=0Aw(6o%qiE!*MbRw3)Tf^>;eM< zE>0^kU*jO2>~olYp}G(f8{Q5$7h$|i1=ryIEsVYpLZX7%>hSNX8B5;}L5B#Tw?aVb zQ2JOXNh2fanou%`1kqEW`1dKbg_1tQe~v(ZOoWOj5b86yQ{iUi@DeyBTq1KI9}e~% z7PrMU;+0VwbbZP&y_8kpR2nZ9&_!WHM|#jxVWc>Cu;n61G#l&g+h_s`8Xb;*fpl&- z8ApDhC&Ni`+*u4xUHHzfKAJ9kpeqCddaU_QRvl1k)M?nOrPGuMq6>Qq>!35jIw)LN zMz9X{9(^T(#17N|oxv~+?O50*pvKUaz|AD&Sfb0Wz!DetnIv~*7-d7OMg9g%3Po9N)KWC}6StzAhU@-zK+SB&e~lthsp zJG;AV1nYpYkQ zyYbcbf|!6diC-by2ki9sC^C?2p{i)$F_BJ>COt_mT^~)NNk6(bnxqB9IHRBkqbn4Y zoTERnSQgqfhC~~V1L{#=)dS{FV8F0oJk|NQtJ5QA<{k?7W{-Oi+{K8?!u!Bo>T##Q z{fsA00^C-QyF1(;dfZ*%{@UXXfxB;nBtQTZ1kbt%`f&_NC;jQ4F{J0L9Ulc3UT+RA zWDE(NK`DmYgx7FL0SdhddkxGcm^zsKFw0>U!m!5pCTdXw_Y9b_x|ga&{0ZFtM}jkD zncW9!ZHQV5)ggNNTr3DM#8IHpgNS#n`I?}15!a3X!%9+RO+(RnQ)=d`Ipr{@)v=ip z9Ych8KU*_B@h)3Msa={FGo(A-Kp|ciN4gO2OKWdWyffDB*TtzrnxF_7!@-}>zZ<1a z72?|M38RF_A6nx*kw36Xk$JZcpxuRtzuBXOc)P6KJ@KlnKB>`7OwYPI^9Ui{1v;x6 z8J<5lk}cB3GA-A}_z;A#+ z94~%KwHv>uJoqJAV?6l9fjF`b{D{(x9~8|C@VZz-J@JC9V#ISVqvorLGFT{w+Ky4b zzm%@&P9m}iFlD8Y!=48d0aFPR4f7-nD~-D(FGIIGt7i2>CCQ*yyOZdi{`THN&YxO( zd2&8wk)~z{mBJ`Uls$!R6 zH%+X^fIoswWp>k-^q7(i@HuC_Yno-Dca`Lc&fBe$geBKS{HGSCbkc5mflgPE>7;}< zs7TMKRDgCf9pH8wZiXVucIp-?ipTJyqJ!f}E)mh^;xSqLO6!^RIW;kBFCCD8G2K8b z6W{~D1kz8^4uzleK?0dfBIuYzVN7~85x=j{uM$bxz*hl#9?VZDemQIh?9s3TU|5}x ztaYE26~I3g<`4Y;V=wL1gSyx0XQINBNPojUn+=1$aB-**@h4Am4T8{D-HX*-ib6Du z=}z(imm+Hj#0hLHf6Sp!`K2p(U+!=l7Zj%sYVXXwYL=(RV#6fUNe-WHA>({?GA(D6 zX=FO4xU|rGN?m;_6uJZ$Tvng&1EV*05?MX$mcFRWBXG#-*pljV;Yy870}FI(t0AUU zBZm^adC>1o;@ImBGF19XI<6;Xp5^paOaeqrzwL>Q#XuU8O!|lp+NnC3B#ORu(WjD0 z7U@I}CKFXqf7=xGWcA}}roe9)y_!rCMxI8VthO1YSxs+-pCQQhLS10C!9NPiHHO+f4qa+-=>)_Bbh6HKF!X(9);Tw^K+q8BMRHV17@e{ZdIWanjYPq||WE zQP{i{IeHi3mynAWgWupjyqC!SgnbJ31K8(b{{(Xl?u;9U?;}d_SpTzyJgR3m%%PV#RF5Y9r@7tX(T|o*e(VeZP>{0q9h3Z zcjjNVhw8$Ly8`58y9M8GY;=1X>DK=voA+c|iUV$g+3h z;FCLPNiWhXZmcaN_vDtlE10%FZa8GJ@(3KABO(I3y zNjj-F(US3WPj503E5r8QsLLL-PdZ5W(Lo-U4hE1 z%~)gq#zb^!1EOFvaJ3H^L3&YDUy`QvQkFFsFU477NQlBh7d9&cYbXWqvsD*caj}&b zYgAJZ#+r6C>^bkyoqaKg{YabolBdbrG`AngHN0Tmi`rx(g99)Y;X;eWUk-Z>%sUu8 ztx{W^_(I2F?)3yD{gpel7yC97nOXi!6b2PKj^0f3%{14e@ zc@94y{*52wG-L21j^+*^nIV;y@%V8_SY+pFa`3}SUmrknLmsmv;|Jb6!NFNCO&WeQ z(qHj|q|t~CR@f}M*a zqziD*kD><#l2Lh|nYZ2ccJKN4&&A2=WalNxE|o z8AbZgmO&VZ`q0=6P*hGcGstk_qLmqB0=Ync%pi*fSGn|$j6fd(MQ^dI;6BYzMk8Qb zh8u9ZE6!?~Ln{Z9eu_CR(*M`mxALB-z1<{H7U2-c?>e+5)v58wOSw{1W5W3&O7){F+PsvdDz)-Edwmhwd&p80*;9s`%cj zC0eztT}Gy&MXaUIXOZkMn~+|F^kX_ei)m99=@Hw6^94B{h4e}x{RW&qvDCwmI+KPC z#SzDT`#_DHcM8lla{ew}fUydP5VKWz5dKd@#85hGC>ddx=SYJpysdUO(HbC_*Ht)M zvYO7>WWp-?$Hs4NKRi$PM7x)+3wR;18DeXCoWJU)$8gFxah6jn6KqA_M!~$wS1hz9BMiLCHhL#_6j|}VJ#zd`> zdSa&8Fyf&NaO_(lJC%zi3mbtaW8!2jb?wPV+WB#BJ7-cWU_;>KVBC^|W-gl)N@nG} z&4x?N64|LO7?E(X!(M@))3ZrevWPCvCfyCsnb}e2?~O{PfPJ)5CpzSY@{Fw~wB>wZ zW0M9u8*jl>!J5T+dz8*-9;3)k{_c_yoXGuBzPT(0Yj}cfSR7_7(*zqEpPBr@7Qr?@XR(083h&TkZ$R@iZ8rZ!hS zP&lg@oJZ1tMS_qA>o#c}d8EoQIkrYkD#8dj}7ueMAR8 zJ5wAEn-%@xqfFLh0}uvf1+vj_v*!5*>_O3VSUynz;~kcz!1WIJb5@R#^S_woe5;Lh zRd@e+3K&c1>U@$3jF)5IaYnd|De1ttlKzm7<6<$rpHK38qo3Niq=AjY!7nZ6mzjM$ zOlK0D`?9p`Dki%lZ3dlNK)Mf?^HaelBF7b}oS!V@-A~sSr^vmL_fltq&^2otd*AM@ z`8PUn2(}T~_tyUlLj5?FN%8avHT|l9jL<_U5V^1$EB8qaYmS#JM{aQqaUg)VPcj0s zDc3t;u!f(BumOl8!+|YhtLp-PDf%?yfMeIrjI)jLov=O+;;k5t1DqA~?crEEd_zwT zC)4GhANNlGo*`<341@+)I-QCyr5WPEni=NOT|HF2ATT7Be zat8(TP_n4eMzeGzHFXgfA2T4=_APMw0(o#fk2gSBQk`5URUdEYS{7BlDKGMB*Rp7S zqMdHhVFz-;PCwF-b?BYLi%44H9sAjutn#(cO2DP%@UK@eeqY{n)e^*)xCQnT+p`vDi1k0tJ}P6+MLx{;)N`Y}7H;x=B73dS2D+)ik0Q3pft zWsoKqr)vW>4C*AO{3KV^UlWNxW1UnoiYyq#$SVhVSrwGP6v8Zo@q?KJ!#I?mg3X3O zwrL84c?aB5tl8-h#^!8bx?-j2qsizgtWkUSmIaHU z(FQp!gOS&9@qRjgZA_UzwnZW3ZmB{szZa^2eL$M&0w*gZ(7^=Do)uwmm|*OFq?OhA zp}!xZS4WfBPK$8qxC()z@$-B%^%+AFqaG8Mabo@?S_4bW5;6d9wo^6!hchJ-Or(_P zMB1p5vJq~w&{bndMz?QlLkn&RihKL24@XB~(n?m#+I&a}^k1E}(MyOU+GC?##*$IV z(NOs#!!=$sp3GCI_AFvOq8e55Bk)-VvX;YKhx=wUeR(W-QdIp3y*n0dulkc?QR7G_ zB5I31mOP%U5Q)&f-One*bA$B9Y5kUC=K+AJs&ENhD zP8vFi#CQ2b2v@0xU>%gC##YpMOgo93AO=H{7}#djA6l%4)-O^7>`-W9Z~5I0RlsUzvh~pvUOBDL4qsqOK`Km7MJIftcKtrgu4M zdw;Ryo^xpocxqix*V2PWwtQLc;C#2A7CiwV4*L8P=udypH=n>R>M}j^1c?hf3p~!b zL2wL&q5z5!sqG1}hP-mDaw<-{3{ZEP&-G}8sQT;AtSbs@WV;Y}OB;_|s!5Mf<;n!Q*6p;3ILoem5u9Fv&0XcVP_95WV#gv}V|9XX5H0Uxk z*j<`(R(J$J+Qi)lt!r8R@ZU?@!^xR;mng0vr(j3rr3C@KGu20$I01nzmT-mt$+{YuLV}o`EhMw5 zrmD7A%AWRIsjNY+=$aKZq1;%IoDvf?l zTt92^U!_-9s2203G3hSTZ(z>9TiW!z@%j8#qWZPsZt0j8LSA6*J?x>1)%5x_5-+-l z>&fXP%kY6Mu2gbgRt5!_Hsw6L1JqYJtEajIoOuheiBK)#lqKbzQG1m(cc&3whaf!) zbF{JSl)3J+atXL!zprP4L@rZ{P14@qS24>+U3`yQ%#`pk<=I@qtn~-;I$b8J#a#yr zkaKJq^<=G=b6* zY_dNuAqvu)-YOxVk#M?y21yY8V5N;SNYda}@b*ku-6!)TYF&&}?^7yjWZHiA)xT59 z1V6SxN5d`Uucc9CMAcTNDm{`X`;M_=x=i2EJ~K)8_&ru1yxZ`7#amdFC+2Xe9RF=a zR*4Fi)lTV>8b*>67P@pMQDz;seCo@!e^?PzlkfZI1uhQ#rQeM*)hq_A5Qp0wvl7%%{2T;@SMC7Lnl5-`iu5h z>4qoCixMX^LeS8;*_vex|;VI_hC0s(L{=7MK*Z1bYYUw`chIY}7da}Sj0JImw zSTVPhl3qw$>Y^E?BnGa>U35|@xx|j2=8>?-Gv-QAXjW5(+G#R6E7bc@y-w2-7o9eb zyfAsCwNibyo@5#A>gcWw$Wg!_TQah-2A;}}N>8lG#wrHT8ezTB-iyE-9TZoli zn4QX>A~B*ncG~MHGFo)TPAi@w3PUX#*44&}X4Ohg!4?aurAwN96^oj2{;^*I1YX81 z5|@HTIw`?V~d;Ti)FIYl)!|a zPd+*CuN8gN`Mg5>*W%rJh2CkpW51#I5sLn~ZLr!WygeLcXuy7&RMjoLzw}BOOMHdf~q=)dkf~Peplp(;%Xq3f2A4DvYrWC>{)8_C}_lPY!r9MA#xPp2DN&Ry5yjIt#qLAsr@&f^IC z5Z1J5SpKKN#K73$=Om(>0+>kn55-{xub|&8C1VYnwPHH1baPSuy^2}+yhuE5M)AEj z7r(AcP){z2(&zDFQB(=1RH-{-lZ59Gp(SHd4Y#pIwN|?h2jC8qzl+4bLJr4G1c>01Fl-}=#o>0$IVpwBlmwWNE=V8p4G0<;K5RZ^RH$x6<3i= zvC&vlCKPQDl0V^Gs993zGD)2C5w=Lv6JcYWDR^t^w=3f9>Gl6s&DAahfUlfA;~Sb& z^z)%DqoO#pL81~t-&R)o7MSU{cY9LvwThv6%=x(PG^&FgMD=neVh7I9Yg8E~YNrY1 zc;6|CuL2;V-ZOK)K1ccM%!7KdLN%*RKWmmw=YqoI3L(i=l}0-b8iet7l2aVN3Mo!2 zqp_k^&4??_)%gLui@kLM;J>P}wHl#PmplF97b2#lJ(AlqW4`{^83*;MnSeagWiTmS zacE9`b-qaY3)l%nYNmf_y(6!N+uW||r5z$*%Rf361b+hr1E}(ab3Lks}tcm~Qb|j+rN;Kt)lU55lW45Tu_>K}B?VI=;z}^FY)k zR5!VV^#MtWyitybm~a-!5w*&Z1I63L_ckmE(nf*U;ZAwHe{;^=*bqN$gg~_*r>J>Q zJlE_K@4PLIw}XL~lZ$d#o8u}-&T+J}XA~0I>oEIagm%cubI!oc+UPF|Ng56Wak&pO z0Hzp*HQG|xufxdeAcVab16N#7dL*A{rc0j%pKX8Fv3<{yWwP-HY`@iyP)A>fGFwO` zi+Rqz6_3fk2vdqdRm|V9iFvur-8R?jx9bD(T9FK@`~&f3JXbF*7Ew0%LMIO{AkOA7 zmS6thvBeeGGsXw<)xuj*3Pjdm#LH}ogEIdB{!N!c6Ua}r1oA7b^rKZ|<9L@vQJ;o? zflzC!0J+@K@7o%B3SotS!cKSs`5QPN%W6u%1~z~n;ED&7JLW(>6z7ue|F`h0HG4ZC zkpJ33cdaHbD}DnP6jL4?$X8l+os1Fkzvzrc%GZ&y&O)^>k{1*^5X(o%p|558$yjtY zoHRRQ5Gx04UL-3Of&6dgbv6DPrgS%eFL255fXQH|559L@fqW#Ei))~aE4d@b z&oJ^2^^`fnsX)9&#Cu?-XV;L|luZ7>jTzHd;f>eeVDZWk?=3See~D~R0y6%OZA|v$ zyTsWOG4zfkeB%&rgqbF;C5M!u9(V!JiOnSyH>L|^C63;RcfuI}O%e3oT5_EoVqIK^ z>VfTCMOs8B)>Ne8}*UcLF|Ga_c9~X!i z9|&p}-HLSDO#1{MQ>5d5e3tO(4eSy*UFlXn>Q$t}4hs^Bdg!0j@6}8BVFt4V$5os{ z%x7A0R|Fl&1a2_Tz&*qzg1fg>1b2T|S8ydq>VG%2Snv=U?sEfhl^g-C=Sy%sgJb#} zRNgszD2n|BctQ`jy5ItU$*2s5+JFFlw6hTJ%$C5V!!s1`K+$smuZFfF1Gt8B@qWxH zCI#x&h+PP3FgYvsLOYX1@yde9>T>Q@sroy=dToS>2HYc;hy>8MvoxS`c_QwWzRM+)IT z3BEw3R`6XEe0l0Z`24oDn!fSGjRVwHywsJilPIJ0anKYm*~g%_noc>zDv9z{b7cCf zP|24Cz8)Vji(BJ?Gf z?Y)|ZVU2a(!=N;L>{xCK>O_sYWOaBNBOv#VO-eHvx52<_6vaa zq2xKOnCh61DE$OfdH@x<(r;fl|D;1M)R*6WEZDeKQ`AH800t>L3n3EaI|3>EJ-)XE zpV%o9QokYi>`cCA+|H4K#x{LYsbPo4<2N0I#1@jsHe{X#hXU#*hfu80taerXy6f zX{1Pb82ADK{9|;v>|n`XM(O~*#kS6qHrqf#|v=a^{*mm43 zp`X1)q{4mofi>GOB#{SLd!waY>IS4p_G)ns(I|FVj3Q|o0<$ZVlps|BA#IYaQR z#8GLCK)aK6mJV*DYc-WQ=;+rV=`clzEfYe|xA+ybVsqFDO@>i>laQj5CxzMrw?KfK zE%@BUW9b66w3-Gu7I;!F6;dwnr0nU5J5Wfe^yJu|`NXDZ2erONq7*?wFhK=L2}4jS z_$8j!=(N-RucMXzVSl%l6+`4{hqvr)P_hZ7cIe-O9jDBZ@(8$ocZB2nJtRT#a)~3e zJTgB6o!e;7D#sJ65_WyVF2>nQ6YP?l-DxoaGG9+5nfy`3)o_hp@ z6xpZLvl4ByEk=oOOMxXhL+mYxwz>LbsPC9`PNG~Wgl!SZUTK>@YJTCOd2J?%y=LA# zq~h%D^GXZb3=kjbGhdGY^P_|j=rSIe5A8r@415D0LFKfSC?^Zq%n-5}WlP6&$ljEg z0Epk(%HT_aZxnoIZPoC_!KZ`o6B`d-l-U5bPIyoJL*@gJolAIGh>@}{d}hJtt<_b? ze5jDQO2}MpTQq+NU~RG0%pVMUqpf{@2J8+00J|HYH8E%gp~*zU`{g6R#{+n>0Q|m{ zk@^>FdZ|BjDiL)8K+dxDSr895)SIVj%|QA)%D3g;JJT_m4VI*hk-H`pddV zzYit*(^{i{A9kCd>;BQUUIl>Z9pG6X>iG!xBNn3EAwVB5K+m;O9WCry-exMZZqscR z=w)!L)qfQ58i0~?0A3BBLr}fwt@*WUn>`tB1VPr9jmRt=Q(P8fU;eH~#z%t5hXYbH=%a0qWbPNkSW6QWL0J zBp|w9K$JDu3GnTH1npD{86@s~u*t8T_|>qS4(HK;r{TH%&SG)18^uz1^oBEu1aAoUpw;#22lfiIPRZX3H_wW!^uO_eqrS1L5@m zR`0Xm+b8(E&9$$Y74M9BTJbcYc!id!Lh%kkbA;gu0L`&HE|ibQ0rpt30B(f< zZmxMyF>4Wn&CB%zVKj%L8RZxEaXoFJ%T9Vz{;1ux12|hPHIQ}4D_YhzgnGK9I zgUt!L1Yuy%n_03)!36^V5dc(yyn*mpZ7desCSqsDwm$hBU)jK#U8`&2P157-+ita= zO&CSJ?S(Cao_nY|UxXXWp%IELaJ&h_79S^JJMre)XRyD3Ve9&zVV?#dPQ_Ti_g0HO zI9btR8iE7e!unRcdi^o7{lG;h?;zc#wqSQFQr5?ETQXyPaR|}^WyE5oZ+jrAhVsAN zw%=-~B{)5xCR=r~vK9Z>p94r=?_%Ey&}Bb3*7k$*5-Q_O<+c_)`~5Byy|VS)C-kQs zBtp`HXwJ{WPOP_Dux(V8r zo9HRTQ%*YiEfR{N&2;)Fl3~!Ve;46il77}v$2%Sh z{aBM}@O0SM5G?WlKhY6+H9|xGAJN|nm*$K)aAU?i zyf$b(s7abp4p)gyKjS4l=f#L5LLt4aWg;N6MocHZMLMZlOxpi~I2I6hjHDe9@j9jl zVm}W=FFbFH?CGEf-XbwkQGo322oIB~lY?G=3*rW%NB)O)bcqMJg?yWx0ohgM`Sn8# z3o#`9XpU7Z!0u1NzvGBO#nwly;yCXH?WW@sveU8J9G!vDGxvUayZWoIN;p z6t-u09JUhAfU+t1f2Xeqga-@L+AKVj!d62v!IuIbQE>cbn*uw8|8wVVz_jGuBeN-r z_4zyK`)qCV0Je92Q(;SLxHshxV?s9Ed+d>umM<08pdV^uXpXfIeXND{N|Z0>N&WJ5?|YVK#Vq5$=aD_pt*#@eb)__zcQF zv+b>>S8XC7Rf6X}<)=aDQYzp{ln(2Qz6a|gheu2 zA_TyfCHVeU$;cLraZ7WhfiWIJ4;Gj>zHAr;Xnb9N zUH_u4<;wCk)tmArH7d*TGz)5pHKR6bZ&m|VRr$}pq6@0>pM11V8n>ti$_ zd4UZ{YDT+jw9mWX9dD$?%=&~be3x{Os)4o?M$-NOG#X|SObLuWk-qmX*(PeT(qVgv zQq*9jGxw52?ZFcnrx@8;nj+Z8G4X|C@h4O))Zj5>GSPDOS~7u9xkauTf?GXS&iPPD zy#WhR=6fXCf07xG zexsMkY_#M(5?bVdBJ!0=B=5U5Ct9B-iJigmkJ&kX5R9*IddBg^AGr&+2nA~C0f8Ra zyI?YK)mAYx2v=c9g0Qn?+n$A=xH8cdQP<)#DduCSX&WNKd>^azt0`)c!t+G<<;f^u)Y)9o@U1%hkWK+&?9UUsW-_ zP>j~Rpozrm%Kghp|h{F(whcDQZf+4WjHD4d6xl)d^8u8|x<)Y1# z%gN^G@>pDGf3C8c{$=k5!S+rmehpu!&Z;7{k(!u>aC}*l+GXdPzfpx~!vx*uzWZF)e8_g5Ya4(`AJlva_6A-||$dWLk zqIxtii(nXg??zT#FpWLv?)~Tud!6)){opvVfggK6nPm9%|UYCnFqQ-=F$7U$m`u`4{Whjgg^YeM|=y0@$EmVB^CZ0=AL#T0dv^+Sr%LyQ z@kTTIz6-t+Ms%68dv!W=1w#ISb>5!t%dmHmRWP!ec$H-ourH-3l>gI_f?@34e>nL_ zM0l0^;EL_cRq$>RyzZT-%hb);eH0g022_5v%An^>qCN^m)In-L==_r5@s?mbqk7Fe zyW)I{Y%bmo%*Zg>-?i55s;QMqbyYs0#|&{eNg-V6PXpn8Jn9Gg`1&G58T$>=3xlLxl`< z$l$*bT`y#iCN$s)Kcp6=0z#W`uH#)QkQDZaJBKGuZ<$sIuvNnAROn9z(_$e2Z*XIr z|LA}4>y1~_TTK5JPAZ~ndgHD17Srht9~0%&{zF!?aT;*TG)jPssa`o7bi0KYQrOXx z3fIQ+97eSrAIneqGjg#K-AbZE4ija;3adiR)L>!K=D!6S{b`iWgnpG?CsnVl<~B|R zuSYMT)6TKZ?-pVq#ql+YR4cfAqsmOpN$3{^;0rj6eampGFaNQfg``*llgK4Ws`7G_ z=4n;978Cg)t7(9h=_vQb6B`vZJt5^Ch8L$pHQbiPsvyL$ni9Zspum40n=cytGZ+AZ zG*(j-2KD7CsWw2sAp~(4jCt3stYef5O zCcUeoKDbDm+mOIVd#stV|%Y>|cwy<PmhxNpGt*7@)~a8Ti^kwIWR(qUi{^^s*rys;N}d)UjrZoTqdA~hxWhwIWJ!O&+SdpT^6AI4K1ScFqflSyQw4TZW0HET zDqySC^o(UdB}tU%&az`IFYfgE0jX z^OH~fXeMPdR9m#$*;ueP36i1%Ens?d`73kPTR^h+poW?;ZI!BC(Qd*inAMbs|4Upw z*g@9oua4}aeiafP<7^hwSGM0vW-D4u#CcGU5&KD*5v)Mt+DZ~s2TO8PsWax!XwzRa zm=&w?d5O5Kq;h0mrVd}f&dlXNxuK2u^iX@Kww(o#YmVZ3d1Jw$rYP5yYobbalxzo& z#4hy&vr-WYX2_-5X(gkbHg}08$yq;1s>l}3DAiW0qJ;8vH7`LoWg|Kp-Jdg{)#jts zGKpxmDqRZqhv*e=upW^?a!$jZgnyGbQH}(D$ff2~1!@$Y*1P7LIXk-0S8xWUPS!`*`*sZ&{#hM=U^qu#@>7O zX%47*zHobi&Gd<#{X3^xrhQH$-EM)*n)&u@<>Gwl##)={?z5U8EClA;i6Jg%p9O=v z#guK8R-p}#?W$f-7|LJ7YhBW+gFxa@1fwM(GfK7B%xB*T(Hqs5msj-EHeFUt)y@$R zSl~K=6jimh8fH&IF_=AFgNIgH$lRYW2I19976*kGs~Lwv7!KMPp4U|)wW9?PI@jLY z0ae=z5(}DDg=m8;AscioIBvaa=Hvkfm179gbOXwKb;5QQ)cSxk4G|G#cA$((qwUjdgGsui1` zTo9)Luig@F3lr@$TySx3DC!y3(X!arZimZ`NHmL?ZY0L z%$35ef^=LGE`Uzq`tt*rqcFQ+FvDQd|60%xF6Mik;18B?P%0xq;HN^Ms8Gze;Uz?- zz9IvgLvbP39w_G8Wg-$Q!lQ63oD_=q^N3?-aiIN$@Gn@UY6z*|O>%KjNr_02P(t{n z)?FnTr*0mkZ+!@+p6OQcMkYY^2R-*8QT4isqzqJd$fS#m*sl~}J`m%<7Lf$m#l>R% z!GoI|(=0=UM%9s+$F0sAD1D~mdI`H0`w{47L>~CRD$gPgLq7+ z`uagSCqH70imx}qRI4fo*&-FmXGg^sjF_o{77 zZVv&9<_O6$Aj3Vb(Sp1h*MN-hsdwdzx(ceNuOXji8FV`cxRp3rEZ1!NU1{P9&9+-A z+`)G3c1ao7k}n$83GxPKmT0Ij!uFbTvS_RjV5`8h4xu6dw&$JF8#~xYHd(UoU=xeq zYB>UGASz&}Yu)p7Kf25r1bb>tETkUA;+CW!&veB=+7F305U`U$k1GW1Y_u;lY>g=+ zF$ecow*@J7&|2v%UVDXs>spU=kTf)~7EhRdlJ2dA!~{C3rDIz96n%oO{Hirwi|BsS zDmRI2i!o*%?K0w=^)eDeN^$alhE9bY%a~qi4T<=`B>1*q-FCJmzL0@DMkaM#w*JH= zrOq9fcS(80&33tLJ%>1#!95$0#my~3Em}ZqUzjRJS%o;c36jPHc@tCzUQ*t5M<7v| z`+_w*?<)GXM{2W^?U+ee4#F0 zj4EPYPVW#2fuVJtOGW~_h5I9mPCId`~DrffI`}CjhvQTdr3LnVnmHp zwU{gd*jqicsY0*?T719Lyhn%ry%y;*V9H4Gd{wBX%1DXRd*f4dJARS!K1`0FLJ@ff=2Uu}W*&?+zwfF$Q%B{^19bQ0pJ)oSo<()Rq0APu zSLP#?S*-!%2|-%kuRZ0gukCA1o(N7}ke_qzs0|rq5eI;zOPxU@Ce;QNW)w$RQ{jei z1K)V@rJ7uvY*qKr=;6fkUI+R!b+QZ~UkQRWqtijuwdE322}^l<+1^1WygtgSCZ7(f z^z>e~b7ui+5Qm^=tZ)eOea_5n<=0u$fP4N}E`L>ECaRXIiKsmC z@2SPSti|lD#q6SXg@1yI$5!G5H5r5wiz{R_;(&czuS*gsTj4&hCXO*;7~W;(V320_@8QQK5V#|;_! zgpAM0XqAEJ0PH%We9FUBLaJG|Q9g-B1RRDUNb&*Bcgx3!qY=N8ipP!>l+li;Ea*uF zR4tYwHrJR z%5yrbkvU^Kd46MW81|e z$W>63#8%4DMr`p>$P_gkA#Wq(Z3uMnq;Zw>%$u#RcBI<)h|xTW2|ntH9J zord){@IS=&80AYX0M9$XN%8U>0h$1LqrA~!l&85Q;Sg&H^Y=7dxY6#;qf7%2vE2;b z8k6Q8V&jBiE%8<(9%V=shuGfTI<+hlw;=Zxe22=H>?WqpEKfi_$^Ecae@3~JNBZ>; zjwYr;H!GUQm55n|8mJ?11!Jb|-hjs%2r+_n%n&<_h!=Z-*)+-?x3xMNoR|@FbQE|s z@*99}kxvio(6lY=j>oFl06;AVcy6n;h8U}5cyOP?$!m9a7mq3<)J@7B*Y8dK z5teXzalPR-%7+QpJsPt1w~!fujMT_)$mSXhgbX{#O-iNf&nA-We;bjs_uuSz+YxNW ze$q14#}2N@4m!eBp35a35n3|z9Ni|=y``b^aC*Np|Zh6U0Jex!?qig-5l~^K29>d zt@E4HOs$i%K^#*sMl8r3JJ&1*ykdk(xk=Rl8^(YKF+vqnniv2~Ur3L9!?w3YfzLCF zZQyYT51%vT#O20oOy1zaEDPNrI-(d@_FvE5kHZvAxM){(nO@#qItKoxeVEjAnOp6qY=Ma)(;AYT=9mU1Q z_0*)_N*%w&*5n>XC`64KX#UB9>n88{qTnp{~j&viS|Cd6{zc^5xhH z#}LrWRF{t!qYcN=n5y&@VHMO*BfzhOqkHIJ4^h*^h>B(6BpVXop8X z;aIh^1v1h^BS>O>b6%}+13i?+XpdPV6S`lod%y_v*Y&&%{v=dBf%8l+4ZrQsuQwpx zRj{9771RR1;N#(%?YwGOAEDV!srXXBQ8l6*-}-2rYU^6eU~zAhXE$%E9gi;Gl`+Z- zkvpUOBzPD?_$V|0(vmYv>)2CJx+>HcUH{gFo`%o?`n*AY&*L2ywyL36nj6II!b~hs zjq*DhuFd#g=8O3%{>Ih+{@}DAhSKisKTSFuDpXa6ReI=hDNgL zVVayIqa4;Onem2R9E=y!7@1JRZGo+?N2r9TAiR#*w~SIibtIa1*Rp=%j`K_%aox13 z@G8tdJhq{LI-=Hyozi0sY(ff{P_3|3se#%|Z@^656`TnX2M3>2wBldxP`Oe1qJixZ z_p>JyqWO&S1&0owomzcXEq$4R!gmHO@sL9b`Hua>_Nbe!9Dx0wNUK3!0Q(XvS1}3p z64-04x{7tM-|yx&V2}W@7~}`>T9T`X(v$_y^l%$UAeliP?;ap>=;j7_B6w@!m<@6P zGSVO8*d%0*QQPEO<``k)9p-9uvE^%Dvw`Ot0fpq(^u~X-=4(m%0rfJVK6Ej9WV>IOq)xv?jwrUiTTHz&MwN~oWyiLoiVplSagcu@ z=v^2}xLuX3`vn^((%c`|2|bB9@$(nN&Czm@ws<0oqDETE`jqX9-j`#RJ2G6uFOaVw z{r&?R%kGo{kFjZC{$zyMyNZ-X9%C)3@3n-=aq8w05E5wNO}N^t=S%pCRJhi`Wme5XIT$S}$X^%B~L@@3V1jD4V2N=pb9F`G-U1&9=#;M(XpUt$yqTL-va z^Tf|Z&w;BQTrWy%eq{TF5rGjh$|4~%?vzga$PP4o?+TF%JyP^>wp$Mhr+5_ZFG?wd z*0D*EBPZZ?xB;{JBP9m;eHTD_X6fj}+{ySrREzZIaoqi;IK+&&u=_*&qJY*f zbbI%U_TUU3qA;{^go8ryawcmQ$rqtCq;?YbnHQCt)}gOOisjo%hifxojfm}z(P|*B z=|y7mZ;fg~4#&YyRLT9kYJ@u*?jht3R92{7;jj9g(i=ZPV$VA}rO$t2Uop|eGU~oR z?X-_Q!G^Ki#}4U%lkC`_6%O7yZLZ$(i?Y-q9X!cCmY1#h;IO@pxai%;-41}idSl_I z!o3f$1#l5?5bzV=D1bg>(LN?EKgF)(PN|Y`8kYgThLpwAkc78Ol?qN{lYX-*Ej`Wl z&x`QIC%iY1ek0htNZ5564qi9|KaS3zq95l2;vt`V`*-o2_80Yj#aPiRzr za!G5?u-%bzze_sgwSC}{{_@&hc1fMjvfU608A;>Mq60Q6vmy5AEGxn`)Fpj&7H2Wh zE-B(1%$rVW`Z>1$ke?y$qXn7)W_`|U;xeJm3^efcZfXkJEu`84omd5H8!KOM;4+i> za&e6Hdrc?n!JYQ+&aq3m=~QHYwYP4HbMSWox&uivAQg}fXb%{VEjuP)Fhk8m%|X>n zRr?Nrs+obiFW~r2`zIHmj|iG{e!R&3!$xua@irl@9~a>veDr-vOW!3j@RI;FJ>`+| zMEL1@)P&T0R7ijR=$n*B%JXrA(IMNy&+D$wc&YCCsF&&jt}l2=Z~0kS=#ozT44I34 zUDBjqKsB9QQrRzTthp1CQ4wfk@Mk5&CB5?tXzSok>Ci81Hkl?|_-{w_C?KY`3Iw}j zfh-?kmn1f^v!kDL@^lw6e#YFR>gY7O@YrD{;acjH4mRQb8MO@cT-F+aD>_#^GqKJ7 zv+|2)vz4wa{p@?N+j@VSZY-VmUElKF<2~=Yy>9{3+ z5V97kT``WSw#28yCERkc7AFoFD0S;>4**m3vvO10h>yYPQVLwZH`^#0IVn-uecM%Dku?*-6#sue#;EaGST*(>1xy6VDb#mC}H^ zb(eJDGS2ogKmrc|;QswG8y)c~>~zlos*?)?^C#gOi(91FD{Lnd`7%siHpFxmTw!}> z-`9Lh9o>7aIt|hxUdyB#v7;>)m9fo@O{9<`0m2qNLxs91%;R*(`?C@bg&(7kD|4u zoDBY3FK@@P_b2hZ^)Ob$8g}4-u$bb}2s2_SVa0}#9xO7uUN0-)|Dhch|2k10BCiFy zHyQ%5-=vp6k#=2Wqo#T5#(sG*m4IWqFgVa{+xqTGE-nNAO!ONV?TguA5FU$Ha40bi%GWZ>iZC`(tAr3hs) zz^^yTGF|+)$`qdmYp<7I&=$VlvOL|4YaAa_j z$mcVRJq%QB0FCxW_VqyNGaM9x`;BlX zbce@8%_AIwfSk(amad@rZ7wFk3{L-N1yl9n-&k=%rNbze#^_}UD^qIMCWk@Xa#fEq zzX+dH_`HIRd<)E{wY95W{#HHE6e@nvWX#(O*o`T@b>CT$rW2O;ltO-oJg?!voebQx z5+>Yh9D3l^0rx;3t|85Ikbo9}o4)Y`j3yo1+P&E*%UoFK#`}HRyq*zuR88g1D{Ebn`a2st z>%8)`i>|tPueteW@AxPA1dH{&QszpuVpf0B?~j#l8k15`Z;Wqaxu7g_UsQUje0)6Y zPrCVd3(UtM0_}-MICN?pCg6XKM|$iJHWdvqSo;nx)5ZI{elz0l@aPkhi{37$A~7h^ zd+sCeFCpv3Y&n}{2U#l<``dtQlgNx%QWb~3JXw?(Wcr6`$=nLVo6Ty4(i zj;O&SYM{J>PVSoAfrwB{A$=(X?5C!EhQ<-PghdC1pRj^x8L8MstS*@ai3xh}C zhH~b{>Pa|)gW_NhXt`NhC$p9~s?%ZsortH`1QiBpF;^m{ULM>m9g{IO5;0e4aU|{< zo6ytO4q!$&zEp14Jsl zagCj8BaP9Nb3Z;RNoh?ABz(t|1&M4*J8@_OCR^b8St)F$>+E^WOpm0Z%#(M{Pt;W) z>M-w|a#Wj8=z?xnJQz8r9MRn2kof0R+TH!A#s(P~;W%H8*CNsVVKZFOn#+5a+Nr#Q zTa0B`=SG)xtA$1{5Op-3!0dOH?xCrMZT_K&hH@t+me!qR2MQULir3WzTt39P*6l&~ z2y`H?`^CDi;GU5tHUG)x#UD_sAkR7Fk%*C|>bYf`7GmEwb$j*o zXeK56r1u;1Tb7ACP&yqhInwk6rDhO%@Je}cK{4)(d6X^g8AXX!N>O-XdeQX!V&o-K zWyIuyhO=tLGD29XAvB*vhBkRosVy{$;^ExF02 zh4*$3Y$ANLyzf;{P4UlWuTIIGT#fy^chG0w|Z%NePJz_#B9?!L*fvA&gmN78YG(6aMDx<8(>?9YbhB?(ZvQUBi~rQ7R&4XJPyT}&~zwS2hWp=cHM)+ z4Mt90@lsxU;w492R9@N3Reo@l=ik1$ajY`GJ%XJ%=Zj7v37O;W8$_0KOeP7ON zPUp>gbHjUNUjMNCY7gf6yX7|6x0HV!->|-}}R;fn`}bdAHMut!%?Oo&0h0BcRvM;Q!PZom_>irXyaliw@Ft zIBS`U(083Ha22cs*nY+7sTJlW_srOmh6lhr>agn%=?q3#o@<_r{~X-4;=%X9?VN$c zgIwj}bR_A3eZl)+e(gT1uB_(QPlf$Uca}H>=6-kO9gGTz8oC$$hGz1gieYpdTpq_* zQagp^fhnHF#rM@M`l}{>WWiMP8rwo$uS=}rcrW)>0WV4(}&`urqK12`R;TF3}&)3WIdFt;OvGj zkm=+|t&j~)zM?nqY{%(pGECb{l&Y$VU*8#ysVG$v%!z+fDhvEKI{c-IgX=X%hdW9Y z*Aki70pe72i6|X6*1Tci%T2RlZ!|pHM4g#BF7>qzm{lp?pS;FUX? z1`}ialX-!!TvP@)0yj_?HIJ&?n?+;v~uVhmCGz6`uJ}|@;xgFAK zv&g>KVX6$OD9oLkZ(bLS?l~8C3|OA}N*G*SU160%?dxhp>d9^THC&~zAw2hstN8&l z3fB*d`e{a9ho)d(R^^k^Yz6m$XZ9$sHD76BE2nC$o`Vx09gfJDq2mYS*+2@K(@&d&U73jTo`&dc7r%Z8Tx0$QQCIk{y@jX?Trb^$C(6|m ze#WJWKd9@Tmlqu#|ZK{*3ZzK=fqaL9)BQA4#( zlo>0g*F>X)Pi}{nuYArLWDSd9x+S0F@ZjW$QpU+A1{T{ABZ|=L z9$xur!Fd-j(-5oaeOq=xNzz#lN0)rDjR9YnoS&lHL`iGOW- zv@q8C&ecGkNtt+(Uw2lmf7zq#bC#m=YnpX8pLlXT3d{6hZ1TtDw<;s0?QvAwl7ly6 z$C%T)=2kl$4ijYD&(1rm6nJK9g9tI)`Qj}2WO-(2K6F<033To}u?Xo#n^Ti>tDM*6 zW@Wx}q85G|dmwt~7}Ru`dyE#MjZmZDQR*I{dHAc_;lKv6GEL3JZIWnf9^^LU;lziR z?O;FYDiAMMk%-p{oovPFu29S4M4jm|6Fl?MF_EaBd`EIMi_xvPUUxJ0UUR>vtJ_y! zh#`6H`8bZ@<(F}F%Z6#SpF^GbyG!7^>xV4O0H%SSqp8(+)yT~ z>tQ}r-J|-Qo3Z1~8M9-cJMaX;*Ys&3-DTm9yy~c;gt9Pj#5W*(z*1(^?qF}iM#bQ! z+od-h9~vKq!Zx>1)yGs1jc)^&?Yib%1Sid%|8UG{3W1s+43Ic@jI2u%H#a4TZ#L<* z`k8jCcRT{(q3ME%@CBH&J9}9+npT*MSdn4M>xenJO;633S-kd~rIpT0TE!r(cJAq#50TbKe?wY1%@efn+m%bCH5%19qGgm8LZmek?qMy3 zn%hrV-{NJ<1trasgA?j#t5_IqD;5jC$4Vz3WU(505_k|*Cax6zH&Ml_po;&6s7fHX zl!z)=6>iZKcZ;S{-M4A#Ix^s=DchYy#jNQMqQd(QPivyu(QGNx-y*8azagscT&;=f zYt7}Sse4tcMpL|e2FstjXv&s4<9{V8FCTK7sM2e?WBmx$@W0-zkB{$+3V%@>USq1q z$9ID3nOiO*?lyO&p~bwds~CkA57J)8dRO!9;MQqwTFo~rBmYbOmj&-;FDR2W6~9p;@woa(RiAl5(kdJfZXf z6?Tu32`Le{#DX11DEX3}59K;$G%D}nTA;a>>0}X0_n1beT2rfq{6=L17_l4mOk$s6 zsM#x(o7hft#CN;kIIr0&{SwMqIyEX&5%;h9e#LBJM76FUy!2XAtoR3F`?K%bC3Q1% zbA9z7Z7_3qYJg1X_S(m`;X=4D!IxVd)v32$7lw0VZS6_Y2d3u>iq+8;jXB8Cwh*Ep zdPU;Q^8y6xr9u3|1?7SNA_P->NM57TMI#pP%#AwJT;2}vP(D(kOcEOmXO`Hq+_Z zDq}$jHeo!JJET#BF&1g@?M^aV;%Wm_&uOS{RJHb{K-R&bg0Q(LZsEgC%5 z<%R&f!zL^gktTtUs_@bZtMEZsE1ig`7-l?;_uwhLx~X^#&Z}&9NQdtH&fmSfRkE4VfDbmK?PWv zGNjBq64zi@|p+d{9QpguWKbf=Z^dv@ih@hIz`JIAqs`^8;S~m^VXSKUmQ!3FF2;0AGjMxhSAN!yKo`~xpOtk&*a?sJn zD(2Jj0+N502{N0EZL`elH_M$%NlTGAWpM+Aq>Gmk22E={OX^8V5|c8gJ{45+b2F1f zw~IsMbIq1quXg(RMWmme=@C?yMo+X6Mn^g@O}L}u^=Ou!jO3zhIFi~mqo@&La0g(s zp;0m3)wEQ%li`0@J1e9rnF!Z@-^n3uC#VnwY`ivFXS|lGZ(Rwv)Ou|+<55;Z2H|Mt zykbGDRG6{uZ$w)Yo77#bY%Bth_ks92W!~%(1jY`oi7nSvxHg?^ny%5Zhj=61s+Ixsg3%RgQ$uAgwdX03*Pxw@w z%gJd@70+VXrcykW!ba9J5y=ev-=E7}1xvk=P z3~Drf5OG!2FrVtsx<5FAQZ2HPp;k{210jQDo*0Zbn!(q2U4(-Tjf9?i?Cq4QP00`d z_lnBnb*o*a=UPnNS}apv2k-^qWl_f5I(q6Kj^kLOQHHd47X;vVHoT0N>jv>NuP;a7 zpNa(47j)&C&vPr^)Ct;%Y5|8EghWCObZ>f~61i-_^)I++=ockfBGT{CTz^{>?v7t; zdR+}ooswcWE_|#On|rB4l!~&DygE z)59Q@4*c;5Rcr&l7w>8qBHSSOkJ6^3`$4z?d5tzDPjlWr-&d9T-P#Ay0FABYob;ML z7=@-9r~c5ps5j7by$gNt@tz}auwm_iR=%p?)L0{HH@Gio?wIN%+znC{wN*;n$!#R; ztXI4RD0yGBUhy5^a%rp=NhTpOds^d_Zj)K?@wVFM!hn9SmhNpjSFwh|{ki78zd9J7 z<8V)r_$pOt*kj5gTcdJhH>tFs=A2+dDSw9e4x0GfNJ3gyqzA2 zYo{rt!FW|;6gSlt$ED^5C+3uz5?7QmiD}{&rGe;V105-4E2{AUJUUP_jVOe)+Y%ov zA9i{aqg<8Z4dXnl%e-X2 z*co~x^vhQJqUpT;nxYc(oPd%^_q2$4{PK+WO`B?RSq? z7EDTKlzV*bYQdy*MoIOhx1fzL`o3tpeH|n=KN^t|e4mWUZx2_DFG*VrS^w0=liOrL zL{4{Djukp2%t~Vx4Pv!EoF$;N-<_+9d3i zGN~cAun$CALat9j4&+3#{rXt5i*^+=J;eH6crY0(FKJnoeN|j84*4!8=Hxa=N#M8} zu7w|8<$}A4(a7_l;$ZnDoLdjFUJ`><-twgN>+0SkUbEfBLuBDv&1q$}hdx_~+xp$% zx=(Y3qbw@uj!lFndpwTj8R#n>K5XpqS9u5SB(Ny`gnf@PZrY9fNfr+ec zv>HUILvx@k8mSl6M2^&neUQ1NADARoB*k299JYwU4%qU0$(10cK%X8l*>>oj*;LJm z6B60=!I)m2Zz-$pQ)YqsK$h==xK~?fzxs>|hs@%iSdGxB6Z!nhhq#D8Bp!!!F9UDM$!DN<%5n~B&~NOi=C0@GVFC^(a^%I*2EV^qO^aiol9fSc`I+R z$|MX#hj^>$n)0gKQcJ3>JW7eWp{ehPl(MN9mrpwS0N)k|lR($b&ns`cdAyMBH7coy zRIIw3-OA!^*6w&7oM3KL>ac|ChUoutl*Ff$b}EL%!Ghor)>*63%s6jb(Hum?Lje+K&u*vkMb0M7#C8m5a*Oc|LX{Uec!>GGh9+1?jyP-~Xd z0-of->%+pLOFQ~HVw_Z$h#APH2B2mz%j0TMTdjYjYLn{i?m^pE)IF|ggEo_Lp`KQ@ z=atJo_er>E;$Em8L&2zbQV-TT1@cQhmC6^;=QfL1S`#v|Z`tB%Hshi+suPzM=I41R zF(pjhC%w{%OEBF=ChhISwX;<=pH~JrN7ptg@2Qcf(!uo}*f4 zqC#{;rb)&5Q?QTU#{^n>z#|JVwvRMDiAxWJSPkeziUQhc(&i+tD;p_&orJY63!$TI zpTtrVdZ;I{xry-=j6qsLj8w?7N6A9(q%ce}yGg)Yv(nws2H37S63ez%msmmz*g+?^ z;i$mE#nS=72Q3L@frSBi<3PBk!l+_5Za{nQX#ZXdEw>JHf`O_ce2;%9@RQ8webN)1 zxxSIJw8H{ACJ4Z1bpUD{cAs>pGiQl;8hg)-a8Ch?^|4#ohQ-*7)9eR*k)iDp+l8CK z=1ViXa2C{ z{$)Qn;$vO73324-AM~lEiBy(g0zge;7_%27bKkLs)5_|-xZ;@5jqA+ORmX1JkT6Jz z)h_nZzW$_~bIQe9`-X1V&EoRkmL~MzW<`&9n-f-8%{9+w>*|*kdzAGawlHUwc@M(B z>%kRpUw&kd>xl%0>1dBxee7paxfeOzDMeQ&mG(=A2UI)!EYUHbBJ;U?ZX}r}^103tv1lNnrhNEmY)&w8Pxom^ zSFlGEaIvi6I8fC0vG*_Jo?yA!gVMGl6l7B$Y5oWC#Smf3xA zjCV+yaxeEn++0U0q&N}Qb0=^itSJ={C~K+v?aL=}Z}EnW84!4sVed8-l3+PqKl^vnI8tDrVIMhz zYsZF2Cpcl^aZX74iNLTo&?!Sa+tfkF;{pI@2Wtc(AlOo?&13F!vm5E4<1HkN@)j9`gS`r0M1RRv^p^ zSI{I^xEx^(ER=zVV7B~CX14qM?)Al^xIJL^XW;r}``-E76S{=M{c!0x9kNsa_4lA1 z02cu_P~=|$dFj%WMO-u2H(i>rn7hJFOSfk~%00)oJAI84-Us{vxCd|6Tm--ShuS|`#WiwaIdCKb zbbx(GbbW~Zt<{{H5Bmr)-vn6u%a6kqicBuXH z3a*W47Bc&u)a6P3%A95k~(Qk8QeB2HM>;_OL*$0z4%u1LMh}>zg z{g?N+7kQHzs1L&PaE5*9hg@$yIvJs5G4!={NSzawENliTke;q6sDPYtu1zUJoY zxlQ@@$LdknJy#V$sY#(10%77|VN)7Ty_b&uOBscOH%>5!@BWM{X<&~Q=i9lX++Unw zcRm!u=G)!JxVfz9@u zDt3L?15LWg9T+(u%E>$st9MlS+-)CylDolk9lo)PXSolJ+|R1?=P%qq!=z@W?nu79 zcN5pB3n>O(f-23lC;ZBN!iPMJfj9#&8(`gUKl2B-ljSn@+c#X}=JFxd10=A`qr8ph z0@efeu$$ayU4+4r6U)@6?@5G`VrP8{E1olvs!PWRp6|3A9h3xA&hX>B0C&~OPk-JN9*3F3S62Fkj*z%GXJA$&ABaIIx1 z!<>W4+;cQKt{rb18_psc`d>cem2v~pDy*$?#NL5UOt zj;{vu{rTt*zU75)00IBug{}ZLpOIgOWWJhuDLRXv5;q%-UtJ6TJP7{8zDJox49&{@ znq7J&i|?t?>hABQLs|R=qMBLR{1ujac)xvQE#5W`%xZ zIAO^qPG|$Z^dAQ}Y&bG?>TY588)E73G~he;rpv~nZPR~q+g7_hHY-eWANk#FTj}=L zhfd|&7$-dT2QT2E0#ge!4CcRIVFdOyMhJe>3$<$)As+Au;A6mMz%l@$GMNA!P=1ZH zI@tf?!*Tu(>AhKe&w%sUtdI(JZH#n!7M~dMVhrdB@wP6*Q>0Z=$o>2jL(4au@XZ1H z{QLPZegBW&<%Pj>G1lR?5yba!4u~5=_Wus{&#n9eI&RVdd)z#JN?_FM?=r%6!0V__ zJUoY@jBwcBT`KG;2__n=0;dMSfFgo`>OHz;Csfz|C_2@g>GB9W6!r7L^ zOG@WhlB9%KtJjbs#hKF7!!@Ou;5*>aaUMI;wQe{MjW7EpxOlB+WKS z7pwTOF*6^VgS^aK_#i&Hc+R|1%dk{S(rimMd?iaY-%~pFJ|8OGSIvjnAu;7079Z?2 zcEPNY`7=h&oWGz?zn-xER}RFIh4UVtxp0oXlw)0gX^B!6> z%g;(!TBdZu`>D__2CZ#xR|*7;OKqeWVk$e76uQ?6h!cbBGiA9g@O! z@|_c^5a$N`$&3JNPifL&{_689>MKpz$;!iJ+_M0wu(xSt=E5BW_aeY}*aKk}!xUlq!%AS^;)}NfW*VM@F~7nR zjDQ6ImoG2~tPTR1Oqdil8!*~uFNWD0?pI+_=H3Cp)5rWKtV|XBsB`UrX@p6z&*yj8 zXTpoA&#~zQgJ$uXM7GBffe`vl^1BE>eJhjveubZ@l}Uao{3yeI6IMpZ_13W8r12yO zepFkrY_9bCZXP<=;Xyg}o75z!@FQe?lfpCMM<4Q=urfvPqo&YI>5&a| z#h2D^lHX@uKbzk|e#}02QhoYO@;d@Q%Ant*q927{Ym?%g^ZEHr^7|EjR4sm!{N4f4 zYyohvb09uuD;!k-Y83MP40hspy%9h`V4ZjP!YR&f*!^ybvk&fr0Ll=B*Wcwn3iojU z6^!E3Lgpge8h(7Axdg|r0DlH#*l+mU!0{An;WTQp^)EpFUhCKp6)I@PQ!JZ7D zFoN!|rvj)+$(;^+27uz)=#N(GG-*&Exib-%3-G)1U@!6|pzzVKk8c$|3HE8N!i!<| zw?EOs?7Q67+isio!G~{qXzo(D7X#>H1hn>{bqCogQ)LLdgn`1JL0T8mipL-SDTJ2; z=;P#f6op&a3ZD*fSHm4h?N3Nvg=1Z-2peJF1n__09oV<0n<0vv|@2tZHmPq{n_$8lc-iu4XB*N>2pohI;~-40Wy7R}U-LZ+7})a%^1^+9l0iPm;Dz8|;4k?F%X24*mzVUT^`5&n0hZuAel@P7bODyxzJ delta 90333 zcmb?@XH=70w=P&w5fubfkRnn9LXoBrO6Y{5fP^X?6>OkV6#~Rgl_;XI1cCyR&>|2B zRgf+n0RbV9(0dCcl)K{I`<(NAXMA^zJI4L-{$b`_Yp%KGd}e*ACLhA5ABq<|@Ar_6 zzvI1io}@N9zT<})@9%}6#58}OKb z#|=FHY|Ibh((&HiXSGdr(*x#}np!e;tWfwua?eKC$ z`xgyyks7F^Nr}1&Yg1a?BJPEzM}jTAdB}j{rln$WXk(D(e24PqQHiSKBXHWl;^%cu zk2Tvlp%nA96?;IR4uY&n9etxEA#kJ#t~{kngw5W_A6sqSj~3m@zx$erw#QYp=1vvy z{KnlB&+B<$^+{X0aPoWnCg(&VVZb*~>b5M}S)yTx$CQRezupPqyG_aU>ra6uev5oF zOW~ajQcP`N$Qh%5?G)n1tenG;v*|(|1OnttE16K=jo!aYO5|CCp=u_>45hu4IWdMJ zuIJw*uaeL9ynxIX@5X5TRzq9u>SS+4OYT0igKHn!YPXcm!|Rxu)#i&vFF9rQWvm9i z8It;WDctJ(t@1eQHusP%*HL>LAln*csoAAFaK+_5PCjcsmsfl9wAn?iG-j$ zo1IXhDP;)#0nEN34LI*E6=LqOjtk}J5BpTldeW3vUeNiwiuY`OjxoQwX!@)~b6OK} zTL{PmfnR!TVNJbDg!SLX{Dl7`ZW1>02*f@6kFm1{qJT0txg9n@L1)C1+BQ73L-NDXFJi~Q?{Xl}L;N%{ou`rzZ8#L-r@`2~$d%QX; z6J4OEwIh zH=%l(Y>Z8+nST+KBo`WSV(NS=Ot{2l5FVB#O&o3G}jQek3rIXs_2Zg-Q zLp@LVXi`$S+V0xZGtNXdLf-MiWWsSzq+HK8zmGtxJ~rt(7cQb-DEE=|iCRP7vqn+e z#o0#Ta-xVQ5n&Sb>(&n7KB{t{#4yukRU${ak^bdz+S8zetqXFPlaTbBKXeuY7tC1n z4AZjFi93CbVN#3KcL)i#G^Kqv$0&Yz&|H!1vox0y57plEl};Iih5) zHVT_+lUQmTr$-Pk6J`t?(`f@lt(>;F@BncK<~?;QYW|cTlz5StII-AziV-r4S$4ao z84pqFN|vajINJMIYhvhL70W(4-1`g%?S+%Pk{Y$yz!L zWKirsckG!k1cz%k_I*t{Vd`nL+MJq67O>rqCA<%4&r=YKP?5gGIDAEwCN)XY_DIFA zRAViojdo7FEp38ceqA^BI$5*s$Kg+lTcYJ$5;=*{Cwq$$lk@D)#%GVEoIe)J_p z+)|t=?Q>!Ja|Kdbpwv&SdES0ktpuo`|HFyuc|uC6f*B#wf`;BTmFG}(&g+ypME!pLT2MfK2IP4xZ0R| zj?RPD!gs4(u4SqRb%(T@f9I;@BtVO`5coa-QWIuaIy6_`j=$nLGsYCTv5xv77Wn&? zwBJv*ANE7XEUYKZQt9X5@iaN%rz`l)FEc&MzcbIUGh>ak*>S^F852+L=DwBID-RzT zePHutQ+IbfZYW$ZaWT?!V(e&z;~4K4b7@f!Tc)q9f&0LAP=83fjy}|Nvwf5lAbtYp zYbY^H@y$y^lbr8{77ctt%84pHZ``P9mo!!XVz&nZ@;FTIL;RURT}o5QIB-%Y_h z8=K%#|5=fS{EZli{&|!tZMsYT_siOSh@?)Uxh*C0+2F;9qTl`+_Np!I$`%!I9$2>2 z&TW@%^)_U2MvqTWI#j>bTjXbj)bUeV9FF#>56tN>t=Hi-otkMWQKkP*dv}2)wGi&1!JZ!ZQ)1)9=FFo0 z{RY+X)E343*NMs>PrRHS8%++SlVw(eJc=@Rofl5Kw#Ul~E7p+YE896iAT6Jo8??#; zNEZl1q<3NlEqsh0$P zCHSx7v{qaL$1(oFFsmA~YRh_B9 z&Y^qV6MED-T+!iRcr@RCmB9 z&{oH+kPsd+p+ALtf#s6CP)j>oUv2n!D~fX;tG!tkteLTKgeQfwW~fL?x7u?;i9fKR zPn;@B_R-88E?aIcDa)qo4j0va2;v<27K}-j*gw)?5~}6C06YA`tp$Rhm=IhrevJ)H zDsm)iJb&V}hhN0Ftx%tLFX44j$P8kEd+A9$2LIWeEcFyr+ za_@~wXJ(oj1ar|IzKyigHdDo8GRB5)ht_lEd6ub#XRlH5l$Ja{hEh*&vDGrs#udjfTCgSWfm0T_)1Ur}4Jwvo zm+CR>*pqexA$?xr#Ql?A(Pxm`DS0p@(cJ@i+#T(eyVoelr}dSC2r znt-}9!c5}R#9~k;v&7UusqBbY;O#TLqkBeGnH~Q5!#zuHdtF}m)h;(6t!LxbbM-Qw zHAicqkEiAa?3kE$u%M(m#~VDTz-CULE#E4-p@=8P;8kQ2Ya?IVU9}piGM^qYLvtwh z^2Ra(Qp^>X?UZ_({lZNaT(xgazdX!cWjecjCOwA>%W-MX53G3#mw)iu)qZq=yI<-Q zjtM*l?hjs`>mpL;kcgd(Ploov0u6nQDx^E8cQ#rmy?bWP8}9M+i2IpybHUo>1PBEv zX%O)GhCtoRfI2&1mklrWroJi(=|rfLGkQdV3%>K7ZI>i!1O-56#z(zFw>in4Dt+fA zl?%=t(z>8qsUPwIX`b^fI4FPx$Qk}8XNb=iYCoL*nJS zFj{i!|Czz6e`gT+KRA>N`!^2Pp&i+!bRksse`e6i@;@^eeBs|1Y=XpJ0St~Z{+mJM zzl)GZ{XdH^?B5xrKsy3KWOyG)&?HsH-z>ac(p;0AEm<{m-|7ohn#`%yX9O}GobcE_ zn9hf|X%(+iR+R}>yHJ(24YoCmDk2}Ub$zbOIlL=&J=rQL^c`vs`prX97$5Lya1$yV z!_Xk(4$oN>eNUI%SMTZd+gGltX)H&|`{Xg*Yc9)I@)jWUWyd;XUT>znqg!fC?Ra2j zUE!2Hi+=H6=o#{Q3q{$F=j9gbzP{gjfF9nLlvWlpQICWc!I4jb@yls$9k}(-rbx-J z-J=n=Wb2Fc)UC?yoJwAB6u7k#oR6Nm*LRyP zgrgA5e;g$f7Q6>uke@AFI*2NCu?*EA#V@!gmWCuXi2dI3KJ9>|JsHn`y@r1pusid< z8poHYEh2Krqi490iU{Alx6i1$Ggz=a0Mkyb4CxhgYjO+Sa(}TPm-sa#IWVJ5yL=~w z81n(-N58NyLZIHYS%|C zi&GDc*v#Enf4;G2faF+sW7>ppS(g$OyOAt(aZTTILi4DAiZ0D_U#b_s^fMZ%fxYRY z0gNJghu)w?T2KhNyjuXRV0mPF54H{J(P~*!5T$aMmU~e@L`>_J8%X&lYtt*L)cLlN zTg=%5rOo}+=^bgLN9OGM&P>g zy}4)_?|U0na(eL*H&uZZ{ObCPLA5VH$(#r?R;igaHRD?8ZOYV>dn;CkjWwr#y?CRk zR}{7QTj6_}kuHT4+cFPX{sj`a-42wurZcp?xi3;XF%v7XM7HcRtg?=o4#=N=Vzl-H zph8~9(@tq~X%WeBPlA)*55V<-mKv?eF@Fkvw3TENISZ$~%_Y7t>y!Z!G9nQmI(FpH z3=PQbJCztM$&`^Q@hob-z*i_S`o!p+h^}&fJxX=l<`;RkR%%ifA42b&4T39uqmJ<`}b0%={P9y34mg;euDYF9Ux-rhs4*UVw@4q zOTiBIbobZ2B2QhWd7|aFALW_b4nq5rvF>4y-_A)+PEFbS&%n~_C0`YXaMUa7#+WMN zH(PsuR6XpV>)?MN|80Y*ECS@lnrTD-CwCF@qLcuZg zQB|NOqJti@3v?^O|u@P)ypH{Ztn7fQ=a zxM)h-gIKkkcI5-3-oiL{6BU8Y@e3}@go%l;?*nnJwhj|9AA{s|a`A7GTH@?e3Vw*I z40N8$lD=JeMasugLvLFwt!4=#LOJINmBDUV8#%B0lrcn?3aFFLS*wMi8|$X~Sz6ql zgmIRO8o7H4SP8lf+JS1JhY<(E%`q5{N$1fCx{O{xNAhB$D?m_P0|7nqqOrXJ6>Ytuf&&;84$*?dN7TXEQS5Rok% zMl&xi?+=p2um#*+7XllEfen~ogUk~g^}4#LMh3R&w883C_sZ0Fmy}ToXI`YGiWYj` zy~^BL7IK2$pLes(RG$}_mV%UtH7%lfua4( z`K6}23#0W#hUM>v4Kq13bCYUkN{DP3h7Q3ZH2vCxB;@bq8|ww&2Q@&1xLv1u(rW1^ ziMz7p+|ii|wRLhAmrCB)sR_J0YghfqSGzDswkUep0{fkI;9yo#=m6@LNJs6{TgpI! zmJc47m#p{PD}Ky7oBWd0?|Q8`v;$Sp`d6*Z(N~XW+r7Y3+an4;#%pi`FPE}P3Vzq| z0V!^Uxv{QAkm+P0T^lGhsR5vgy<}tI?(FIRfbB48%i=;B$BVI(m#eGwNcEbe{IGHV zqOLr{4qSTulW@hH;j!1ZVtGb(`h_xrxa_MBXGYH-J77T2EL=UjSLa*N?VrPM&J|}g zMkA~*c%bR2o$3<+NzIS1de{~>zN|q)M8dU;?IapUs$yna!Z!>aRARsOm(;1{n)sdN z@$b)(!IT+Bd{_l$%3_fD2}+z=qK75N5FjT3)<*&*{O0$AXo;+EvZu$DwdtAA*QI)h0ztjF8Y8@VbHSA8tH^D9x_sR)7fRtwd11u|W z26rsvTnC)Z#vL3?4_zKc(2p>WIV9kLQuv+j!~WC^qdcfy1S(D3eP8N?e!q1I)RO$$ zn<71{50Nkd1y>}#*+v`FIyrKI1hi&;ja71~26Cs6Q@6*V``eT=PpVc>hhx*K&4{pb z*P>#(gK*~lbW2u5Gm-zgoocx*E{t}!pHPiFo-w_qr48-9^Vsh5^!wt z3aP>xzer`cnbzMciZkd{0uo3*N=6bcf)iZ6?@39V9pSly-G-%aoMt9=uNp;~L-q}W za-ma|oVd@Eh=AMnBPX`Lj51I}t*gvUs|RJC6OZ1fdqbTWb8dBbj2ik_Emp{G+NhUynzh;S0&Y2c; zF|^Qk9wrz+KEN`g0MA|ona~Mp-~Vk!6j*kz^Kl&CgMxEuv2m7oBCVwx7h;k=LedcFLgrSBSdz_MSM`L2kXDmXBOvqwvEhgqj-QfZ9{@P3lM+ru`T|Jl#*XHe$YJ`$(!oDMZ{$YTe{Uls1XLxkn26~-# zIAd{4GR^h#RPm$j*{0P;rVhbFRQ+1HH3n~j<`O6Ceg3}j#?P-zfwx=My)~%=z361P zCd*LgWlxl-+%tUSHj>lQv&xg(Qnxqdbq2B@4s`__TFN~Td!szBJ}gB3J|^krTIH!@ zY1NB+wWpu4T8eG9R7-#It+HhkofB-Twd$VhI>&J3`}8|G5#MihU)N_a(~UhM>(;tN zP2n)ld#c!~E32!(0XS!rfvEZ2Ns$EV8oHCyAVq{x!+ zz%u-hKy-Djdofyq!Z6TPVy7+xtvv%$@X#b`~D1p{RhlmTSz114o#K}m^Y!OdI(eYHO3=4{J)a{@mO~Pi`L{xS|quRxJ z3-y=0s5^doKgOgostz%+UEhHgAEp&q>W6&Pl631Hy|ymWzkJc65P(v%mqdYv@&ffS@<9r}ICdj6zGW7d}Xm)sHyJHO&`Wgz0SAS(6& zB6h&i4S_qJD0;LyoAx@jzid>}Z+l$z%RK(+4b0A^yZOT$h4~m+T?(>$@#vQuh5kJJ zrB3>5?UF|{-UANT?AxsL1!pX3ugu~hf#O_7#t7i>^qVa zuv>ViuQ%=GzVv*_k82<^!VV0;E02&LI8{KzeI6zSgw9NknuOMFS^rn+HM_~qO`2ha zT-_isDYYN!c@7vLQlQ8y%aKhMm_3=-N=3Kqx#&9kP7lJ^5CBBEX2$#y-0 zs?Bgso?p4_vT~>si$Qa^qGcVfVr8l()tv#_4wXlFJ9V*iSPoi*Sqi+NGyK3J>})Z< zrskt~JNb3mC%UUZW`^tWu%)R zbJ;Oa!y4Q%WRdi!Q$-Hb>yMcY!{%AL7Ke8|S+F}~T0w2lu#*jgG(WuD-(9I2_Zsh_7o#0}NW(q8N znmk0wmV!1O(b&5#x_D$Ob)E%9GZfIxu zIFkdvxja)Gx)9c;wyr#e^R7Za*0)}5FJ@>5k<0TCV>Rg|Ug;&ZOUcqn5G73tCu;0l z1ETd@-t(~QNi9!MDA%mrKUPVPbg#Q>WaGs6a1(4N#Ha*$zgC3*jg3F}f;HCHC;c>^1N?p0V6BdX*Y z+6h;v8L$h#nh=QVN@ zd&b9)&^M9Ga0|m#2<8q;0whTJD7(MgHSzdbs@KGn^@x&*+88YD1<3DPIO-4YAmkbF zlL<{KP)ya+hyZ_7MeTFL4U>_!^y`wPVl_(TpXEzNh3^^%MSR->)ewZ#3srYdDJOAXbZ{VS{dZW%!oYZ#*wm7 zw>zzkRQEbO`3j4{?}%DPQy%iF=JZDB{J>$FB|ysOpmo*$s!Z^B#YQ;B|Qh zT_}^oiUFO~53xtKOJX!WB6SJYx@oiG{SxgD@o$?MVcyMQt}K(SW`O zip}S7yHp2r$CPTsV!T(riyDO74iZn2SF)yw;!ajyAJ5z(CI~M9C5MC$l;*(Z$v0zm zLwX9YV)*77B^n=ag$-rrFgky% z2rp}CZwMqHzc3JeW#Spn5V!v2;~6P*&Qel}B0mNU^nlZ3z2q!|ux zmWhI5b+Y^E^a4jZQgVGb*cd=*qrEn!@dPC(iz!%Q4xqM{DaEYfjknQ6V8;NC>kY;rFqAS*Q(aK(&CuRF3S=x)a6m11ZXh5G8Yp zxMNwP#cY3h0bRMPaH6l-$uQbf&zAK*FKE(VMkXViXZ&5PsdBytg^^!9c(D!Up+G$BPv>n5u#x7eRJBG@3 z0|xqRvUYQwFR%o?=t#>R)5 zAWLN=)RJgt=c@c2(r*~!cAe`Y`EQ?gg6n~~A53GDCZx3|Hr_@J732v2Ld{R^Eod_j zD;IJ#7S+kr1Gq5q1MFU@hg6n_;^H4#}0aqG!<|7qzYLGyT8PJNiEK44*Z z<@Rmc?n7z@5rU4v%fU{>&+*7g&>@uAGtvR)6FP*x0d!#eNpK1f5hyfPZq%F=`K(U1 zJJqmJr88A+mWx*SmFluxf%}jxZ)!&t+NgHm`EL%(&w3hPl33W!pbn(4(gFx5)X`ZT#x0> z=dOImZe^cN3H0S&VM2@Sa4z3K{BcH6O@k3-Xl$gbEM_Sf`7t|R$Kt(0>} z@w#xsgc+(jPn*m9hhK@8$Y4}ud^Vg0MGiL;yT^n_h5N=1H_!B|hxfkUKGra2bS(q z6s=czUbMaO{S>!p%<)YUTrD>*cJ(vx1*>qST>QYX zR>=)T@CGnbI1L5lQ zkDp{=&kRGfLnIdaXqd-+f|~U(wOJYBnMzN0n{nUtj~RfD1OWl~LHC~@K#zaL0+)hhSBOdI%Iunb!^9=l{SVIZ{Ugu zF>c6RWWp(=bdIdX%dnoK8`fVZ`+UqT^p*@RayVbs#oyEeQ2dZBLF~Qhl>MHq5gudb zZTCz?l4-W|QK;QFWqe_=C%EXdu$I1jPh9ez=$9Ef@mDP(d z)4@x&FIMFrrM>y;rpbXNtPainIstFp$e_x{=-54&cDVkmZ{bT0(G-BrV* zul~?x=QTNz!Oc(39;w4Z7GG#gvvBbdY&V_P?535}wT1WJ`jby4HYu-Agiu1&7Bdu? zsNPD?A=_2K&+lG&m5v(Rfd>h+>O-@*dIc4K1gS6>br!Ct$Z;I?J9J}^H~rE{_#SM# zWS~ah?B}Q|Yq%O6mQi`MOOM;2TJ%DxAai?8iGW02?q#oWr|8PY@0LRJdtsi#0ph`f=v{N402CEK?@{rmxUMFH3;MZqJ6 z&Jxaf5smjcCZ?J<^rB2niu6N{BMm@6VNc;BETW3jf0-G9{5|_HU5LDc09gh|whWMN z*e}wi&~e)|cJwq?+zx0CVR$=14%C91CP<|n=BJJt$-Wdy**UFrOm#(veC$$JnhTOp z$-_CkUa6N~{k29x#2@<6&VsOAb$d!@TWQ#}jkq@x=>!*FJY&m>iVr4M-OI$CBJgxN zgYI@F7nI0lw5%Eh%&;i6tg%0}D53Kx`r?^_M#meL9nN`=8txrGITw^?F1g?nOeR!R zv*$>IDH$#x;j0@wCIw&)SOz&U5rliAu;LxDUAHo>$<`ZNLwaN>OE!Ni~UBvya=bU5xG`+aWl7o-h_oI|9&vt%SrhtnQU zX`gQYna~^(POpWtkN$E+nv@Xrj5qF`|9xSSeIx?^a7sL(D`rRNrp5?A8Z-?4kpK(H zU`;<`j)%{*LaH2v5YD6i`qo2oc`@pG&nE_f1iBYt=gltTH4i4riD87ig|Atf0AC@~ zHoAlZP@DC4@+zy6?)o$GC8!T1H(6+A_95RhJ~NKbl#B@!Q~Mo)W$*);?jo(Vxz?PY z>K&hK&@_k=TJ9PIQgd3#doTN}!8nmX0>oTa9_|$BD{k^>R3YgpF&qBD-fvM_i&%!;| zh%*Og)83VWE7$}|#J{+X|K_ijF$c7m_KWyx_+IXCFXDRCW3NGLvrA%ujdDMyJz7@K zZ8|*2GQRsU4?$flL3P@R)yQl2*YbG?(pBXn^oTSv0b=Tq^SyRlcD(;+rN6SkXDIP| znOidK{5ukIQ4sfD?Uo{@E2==I6J{Zk$+bNL;*C3Q*JrnDmiV3K;aI%E*|??+^Jkm+FJwXfftIqGff`j(8W=RO)Ji@ofUSuSnx)xH-Q0hh|k9$*L`UW9^$lZyro_S52Jlg13 zFf6%H7=M&$WH<9I1w?r)!*sjfE}3yYDovuL%3nQQV6(;yO4dVi)L+vD;IH2|Gf>*k zmW#(-l&qMG-c9p_6M+tmU#v!~cM>FX8;7XEcL}(KRL_YH-VuSs?~Nc6A>AN95kb!C zbrJTJjhf%>Pv2l0!gB;_j_O!@htfpfxDpuesp7U+TWz5oO!e78e*$sg(>bKK@QBWt zd%N5(wnAU)+o7v)uZ|5Q2a}(HR7b9TTDiFfMd5}Y5!m#+aITB|)6P@?5oE)}veZS?(tlJ%!5OfKDmx3%7S zlhm9V%GN);4s#FnS^fl>FQ~?7v2-9z0Tg}AtKv2G(zKIb*^rEE3mRz`rPiptm~;En zC`Z5nWX5w?A1+KdqnH;N2@~jQbU-`SL;Kd8TD?1HhttgBd)*gAkw4^}&UeO`dQkM^ z3@P`B&%P(krKAsYzr44uV_|sedU5P`As4vhl8#c+e^rgZNP^>$qhn09MTsRnZu;?@ zg=|yz;9~q=Ri%evdk8|+Z8NEBmr%mYg3)v*ZbQ3`zPro!#TH9I2jRKh#$AhH7ZTp^4Nn3)<)rQB>(#;(Jz>s%ewEyB2;9$K8yJtK zOpffd`a+YgTGj3`HU{59F$j6A_m8nsp^bN{C6_2c$7ibdv<T0|)U>$2u* zSoyTkCabs$5$QT7u_~82d>>9z$ep#*#t=`;H5cgTZ>o+P5E^{D=TyR!f zM8#)ce^N$j5Q{DMYOqY72KDpXi^r4rq>>dI_lpKBZhu0aW)?>;SErbz?$h!0_HTMA zPSSxs*)M)%_?zAl+I2URlE^c*_l3W7X_-6l=1hwPuK!u!6jq@zL^*IV4y&1yQTre) zr+)zM)k<@=bIb1q!Nar0`hsX`Az3W z*K(1qN_|D$UCk*)8KDUinf2@AWm3)IZ>gt*Tdc0p#<&VA=dNqade+ECKlAFbd=uIi z$(h^wH8Z7G@baIUN{|4QSVEmP9N+cD5VWyk2GXV2y5mq+Mvr+YfszQgBB(6p8C__s zg#fY1A``kBRnzT5<8AV}M|K)(jsHRxGbE>ioYb^NI6oP^@J2&{owiyjzZC*K5*}7f z1^pUI+#a9{6@n-+KO5x3z7f~H`Sne=^o;qN%1!?w0-z!v&aaF9h*yJU897N^b-9wD zdIuueIyJ&7+7P)y-K0uacj0ze_UErAhXy{_=LS)tfbux+kAg)3+Nu5pQ%ioY=`yA?*Np3QxNEpCc48DsQjSEKXGFwq<+E>ncPhxQ2+1n&L# zJxx^~VpGivALFQ3(qqxF(4Wb&^R>zZibVaQzXQ@*VDqfSz8-At*SOcqpZxvp@>VW= z6J@Kw=h{G{+QQsI_Z}v`)ixf)3^jk%jku|Z|5W>9jqR-?Qs~p(lu1XJC5z5yoDQ-{ zeJ~Yb<%X+e{MeRCXhjEn<;j*bnWHiDT6w45x~ytN{Xni*@|Mypf)qR3v@o-L&%ae6 zO?aC^fR-n)v>XSt+?7%iJxJMwg(w{udc!$#*xt)-CK<%Ji9m@^7y{(B8kxYV{H-ox zv;vwzZ50f4bORk}0rZt50>o{N^vD%_>FL}F;%tDFsRXLD(>^G1={a3!4$z;ISq*?Q ziQkmPN5urXkPSXS0(c7vk1*@8=Er*04Cy%##;)!0u2;THWp(;&X+vLG6)^0Ln&h7$ zCh&|T4YGfvhsunmKOl;puhLD^MJ14lQVkVm2Jr&Ev&J){xz=A-)7 z470hg=RGy@yB&ZMCv)p58&`OMPg2W#exyEFu^T%SzvR_j76W0%Xo)}T%y2y z`+YB*DFzH8k8qDv@lT@whg?2nm+V^Hdj#XsOu>V`h|>)@;0N~H_*(9Jj{x1!hh-({ zob3{36}k9?x^~I6^M}SH`f?(5l+%Gf7rw=!lQ`34briEgc|&iWI!14~bSDKwOtejU z35@Rs^D^|{G<`!KrkEMp6bc4^lmn4t*V1s64<)j6w${M(m&;;Rra5Y!edJczn}(}z z+Nn{qzxXRmz3~eSAyeg3#nGJ>qYG0y>?2poC=J*C&>Q%Nt9YlsyJLFAJalY7AW#E8 zyqqcK{{G|UId||6XS;dytet483rl*xuu-(;KoGKRqGwRwYkWn|yjMU{ZXQhT3W2LE zGwz$qd!$Mfk4bIqkukN85?x{!-))@}y|=@FYcWd4PG5+9sxNTV7c zrJ#d0W*L~_9Yoe{%T!highvk9qHIl50Z4a*hd!7Mv%6X95>@L2Us$lB4EWag($BQh z(01Aan$2*vIT&eOVx-iCR-LgB>u;%E=G0XuBT}!0&X%mJ&@-boFL2q*c4WE4J!JU` zOVH@M=nXmqfWfce0H&AM7>WC#vMYdr4vtJy+wtNW4n;BKdn2Hw5Qlfi4647IkwEda z$lARY7ekQ9u6*0r36xAqn+qt}$T9r)?`C-~&Ul=*=IiYM--5Cv^bgQT;smQd0%!B~ zvNom|KcXC`SVu;No-Srnic^2@{(EcJR`Lu5ohd6Gh~%#I9)9 z33>2g4qUvJi~-+Fpyq~~PqV+u`JWpIIZq>v08kya_{Ypr`c0J*8h_UPlti6lSwVQD zj@l2iuj{p*i`E|C0I?l^%aE(>qQn6ox`8JF?#p{b$0PRQhgqBHHwq--wmmE9ei1x7#TF1Z;XLSgXv z`46B(3*Nt9e1Dn<($V}Tma`$T>5-Dd27^k?4OY1*MUQ@iPp~^95u*~4drNIKwMJmz#$J?D^?-|Xrlf@mYE}ivVB1X8J%8mIQl)8doWKFVwipz}=DX}V) zxmx9z-d{)q6^Xs&V1N%C01WUUE8D<#gfi+Z5spWyXifDMp?SM<$2jYQ3|SlWK!2wE zbww+O|MiND|JN0PfaDAt$?Uy^UcoR+hd!5Rseg6aNR|NobX_VDL_BbKW4i|GZVZB}D-jf@#YX=F$kwF0nyVirM67nI`XOU3;~fsre+C){LxytwUuZ*s70Ax9==`Vl7##}x3+r=LPlG1UZ-65z zzzi<}ocW(ufMjLAvv`?(L)45@J%X?5FVd!$3=o1{26j)XS#2ms3R#(|a{3+JtN<@j zGdJ@13r&U?FYX~^(^-Ivcgxcq(k~kzs=6=e<8UEB%XOT$@5GxzJ%Z91QRZ^P-&UH%JE&X-1AOmSEQ)uE^RS}gYy1QxL;%?FL*S2Nfs)A*mL8is51HjSl*A9$ zj3G+RV-}q$F#mzGED1yb2`EK};8{wjFj(%~p@DlB1F-(MKVA{CkO#1y%##@jSJrpO z!&0wfgqZseZR_;I6j2HT*fzB+u)eDE9Mb#dRpVqg8IRUWorXi4$W#La#XGPc$Ax8W zpdcU_WvV))8(%5o;c2s@m-W#(A%jfVB@LP1hFo{q@p;VOz?x>payI?8biNNaq77ZV z^+OIJAq$I<4`*>aBhTTAbw1f^f?cUDs2UV!fxeq-v&VO;A-=-sYVN3^a6ncj6D_ z{826|=f2`gi;PJSQn?!c712Bc3@V(1%->!*nThH5+LH%DE(jB5uVrbu6*j7|-uHk^$D-~!iw;Z0h?cpk z=4k^cM(MN6cpOB%*}x1V|GaORIxEA1@?8izQUEKtNUxrhYhh_|yRz{I`5OAvUkeE4 zwSWb1e-^+(HrC)SJFzfG_swp_(2AL-zP%_>Z|WNT2qqD z3|&|Uko(1%{@UIC3&@-Tq(DrlCFo%P4Sr%gA05x0hb)d8Zgw_E-OxF9%con>iaE&p zLR%343Fi!p!`r8FV}}Er;0Y@%cUi0W7m`)+4DY$M_?J7)jb#FQ|Ud`JcW-Zxa0Ux-hV`AU9Ck89&!yC-cnvABbgE^` zzi1%UNWd?z)(})G6*XAn<|EP4tQt>lRU0|m7KtmZv4DUD`op&q+N(cZR&icNUIur3 z7!K|@ZZod`by~lT1CI91_qbH|*c&^EpoRM|;BM#tgypGmS*!V8E|mBFy*T;AcH7qo z2;o>Ya(YhJ?3`iQHB#)NU?wxwkmYWhD%vF}A(&)Vz~Hq$wxjWXxXaxjqPc7c>FEF> zCZiSYc#kH862pWOnUNpoxTdZ)-ZJ>X=)8b-u)8LbvROBqv@$^C!cGQvPC8+BbvlA} zK{m+Ka3BI@hN6e*k%2U-XbtA1&@Bgt^3(5w&del4#?Dspi8tQKuOt)E>clS-%w|q~yqvq6 zyMKQxWPuU+X!b7O-ADlN25Sg z?68fUwdNb)iJs|yZf*#_IS6_R?uMR|up=0={&3NR;ZTg0#1!!k9L?(}zsrSk+z)N+ zcp6!u97EZc))8dz+jY1kSK6cX;;L}$O8);L>%9Y-NZ!Zc4FrgYv`7tNLI6Wk6jW5y z&{iOzD0*N=#S?qfLpfUjdq>v-q9=+43nd^*k)n7uJOq_fL{9`E7SIKOP0#yG!28_y z_x>^2eP(8-JTvq3-KZvgE4@Ka@Th(2-P(L|MrFeE)q4t#%6;=&9)7Ob99W=|ccedf zJL(UR9d+liJ?|z2-?;?C-U`E(Rb4z=q)Pdg>3!nC2(r@q^GD|=FXql{E$<~5lf=oN zAA&1H(#0Qby@I>xoXF(?Y_{{}Ep~MvejlYq7u;Sr_Cd0uENJS7%=oFx{J9bE`ikSD zM*&UXYeBZ6Zy=m%46;4eT`$dE17`aNTj0fjzgn2+xUL=OTTq&-*g6Ol7&RdM?S+X; zAr>?G)>Z1@)X{CO6@ED@1EY^Tywa0}`%Nqub^n2BiqE~Bv7Z;sXgN3pPQ#P=#O8T3 z?W@`v?A|?@2j?#%cw&C(kpYhn`kxT|y6%kfPoaS;(LRr7U+~qXV@!yz7sx?k1w?2s zhvA?#-VJ;DwgE9}zN5A2j~a&l>x@^&_nhv{cDMQc(l(a_FSg!fV$FP4QITKGs?x(l zK>~TvJEh`)Z*Mhh;L)e-*$KT>vp=tE&J(j53g|Z<{B$$D!Xz^ z*lv*1!(S;*OX`1X2|7RO*t#3r3buK4HGbS5?ZI+-x_ou($T;1hEvfeHHS~bP@qE`* z)!Xx(-5U{$e@dvz)bLa$1KsD|4>rnVS!bYA)O<&Nu#0x`Pl-{KwCC z%EDpw&5x&kxZZTT2kYWR4HOB3=5OVHt@yp=lZ)$H&nu!H5z}jZLx<0nQwX}Z9i}yWR&YPrnY@>tO z_lH&6J_TnRuVy8;UoIC0ZL@p+dD+uJ2_B{pXu}?6CV9BKqdWAtU}Eg5?T!yNC=V<} z_ zN{>3`h_s;xW=~1_9<{pY)^c%O(WdX4TMBc^#5cx13!Yr~XvW)BA{mOdTA$H6B3I=j z9udVFu_-9rsHE*kd~ibDU47q`L!BO5-(S|@*%grJtQZkB(B$%z9Q7QFtoGo9PAC^> z%cG!tiMHJB-*V;m;a#||#Q2?ENA>c}!mei!SUddtmxTpqQP5@J=2sAx+GQh3wH&_S z1+%cZsi!0@%f0jn=w2B5HdEl{5hA@M_rU#IXCaBV1^+UC+PM0_=%IHv7**h|GcuA6 z72I0<`TO1nu|td_U4Qwg&*Yn@?A$4r=!U&A-abBW=GJvzUvzvw_HeiTih%fuEiVd= z(uWru8Q5^)Y}A*4kpU}SXEcs=6WPRmuwU>!ep=+`00KmqHNUJqlGS}ku<*mS-)@Y= zis}y)Kpcw86T^!w0qN9+B_-EC*VqPT-wcYHJNYB1Dt~SWz0CP47KU4-hji`Ja4zh8 z({__8Tbefg`nGq8df3CmA^zUcy$O-q)|!u#{IU2z&ZL9aj$ePdc0$3t-O?dnM2+8= z=bayQ{4N-cUimXCE)l&Qe09~NZa&R+YkRV0apSj@4;lBGn`>7afo1UT-;jr40vKf` zfMqZXXvEZJ^e&U#{R3a_mx>{Zqx9VOqzh*+<-LRQkE7Y?Pi}bSI%fCAN4K~7?eVia zCKlzJUs(N!bF;GaMh`h!R3!60DltrNJWQ$HhNk-YxRxFJ2Lc?&m!?;_F)Gf3zg$?d9Dm&!ar; zhb3It8hXSkDx-4}R=d6T;@RHcdi>1d>OVa3KAGrSmcva@S1tQm7B-SW;tDaie>YI1&mVe3VZl(j+&lg2C^r)nlQ&y&?%s*T45q)cK*BM}I zjlkBPfvn(XR{b;)_K3%U=-KOmtw9`0&}n7+%F@Zs4>BjV3gUjhA*#k7c(FTt)5pY4 zxDfBK?Ycbww!@NxQPiGGin$dF<_}-`BJrxvyZeD{7v{d5d$i-D?EyF?XQDRkDd}xi zP2?|;Y-wuaJ-@m8TdqZOrl71-{yg;RmYca7{=QMRyvHv|6#p8dc+X#M**Jkk)Le^S zQ3|hzzsbD+rRI6SjkEk>QO>p#TZ*8Ap}j6&wOxegO!EH|b+umq5(oWBLvF3`D_$*W$57wQJM9J73MHp6c^aQCd(H>lx8~SRHh= zcLSV)gMb02b^9W?*_~jqp!XD@-Fg~)Un38u^AjLZC>sFa>7BZsBGKC}a7 z`1P;g@+)1p@Axb($o`wV1MFt{-%4{Ra(GNL_~Qq|64=5ia^YRNaS}IJ_q}&pkH}Aa zvGw(XYccPWGov?Um(7i8_32#};=?SOd@F%aI{gwylw5)RVO30&xb$L zjf@RbP@E-<8MhKHDmp}hc^@o}tT^#-5wBq%8vWvxMn$ znpJlr4!{5{M%;@>@2R|h8ak%=Vo!)qPQ?O^Z;C>6&~y<*TP@$tN&k|Z3@AKM!-vIXGp2fF43eaZ9jamzX7uJ{icWN> zP9J^p;I(bd!KUGDGs<3H(BM;WDAf4{sp zz2F$Z_ccXdY7PfvJ6*24Gx!bf`7pw#G1qsdJG;={GSyEVmt$-$*oxUTsc z6_%y&Eyx=Fz2=7BjS+q$uT}pkG;x2vDSZ-;HSUpM^cVU55Z{6e(|Tc+!DpaU`B01yfM zy2lJG&Gw+d4BEj5G8DMo;>#_}F`&m*(s{3Q+rG~#4XmYuoDK6zyI<_ZfN}>>=qlvUno; zu4kX>Myx-jkm$^1`HBjb044hl$H))9dW(+H#)CRJ$hI0jx>GI;L18Jy->I`R{TJ{G>4{14>Av(T-`9w;XzQ1exBe+U|ZYr+Q^M#!nz8Q zI`d+q0?v%-G=TW^(i|eg7<{#EtzVg6GdEvmspz4i(YmFFZ^Bm+Hud^>mJc}vp-l#p zPalr0w!8o8+dOz3>~!4ag^#N;P4s}DSNV1qWiP(fp7QWw=34Wc&8g%l*}d4)v%YEf zz@*trR;0l5k&|0;8|;=p3iW=v!V5O>)X4Wo+QU7W{RWyx`osyWLr+#*+#i5WfiUv>o@YJFzIe>uu;K`Guw(K- z=%Dyfc%)QbGi|wN98L`>4_9z$Rd2!t0S`BTT8!W z1?FhTm86H)_0}=bcK0`YyDk^~)ifSxdE~tVM$M{9EvYPa-@L8nmqMdTfx!9U<}lng zuJrK31zaE`wH<^n@feX}n`Y;#am@zvV>{HELG=fK6tABmR&D%VT>a{S2bq3o&$knU zKV&_LR4yJ*v6rMw?@7o~bO;zQ!SmZa*+(Ys`DS3TB)%&0tq7P2FoRhS63WAeQ1d@z zZ_senYM0mGhv;g~7=@!9J>!}I4{pSM4%X|S8{ zIOw}5_J<+g_e{@*zc&`mIT&;UK6HWG+zb)SAH}(|e)F{#2j`D2{`FkT?n``{02FaE zI3=mT&xbNzu~)!354E^2P=Lha54z*dh#bAfy$%KB>fx`ERP6kfSZ^uLmg9Zg}agEZB7t8?N1x*d+Lu-toe_S zAFbf@LjTf(H-3|LmLGb)sOjM|tUP1y;k|zprpGr1Ss+`CeSONqzqucRE{&R9B8`7K zXb?Dw(ppFGC8B%&R)1O%6uf~ytJkgrzP1v0AlLcrH4k=V;QN_3b(_2%t0acksIe2^ zYc2OD1tw*`{dDp#WV|&WBR=Q+kb8Ia@ymYYHfvWN)!d5D;i-F;E=sO`ZUtXwo0vYv znRP#ZU*$(I534 zSH&3@rY4z?#1H3h}J|V-oFoXX2I`&6`)At_@dGI|?yZiOum43%= z{Hn-!cw#}~yQ|6&W(s`ovx%1+82kCQS#QFtj&9ehcU_juh@|WaVS@bqrI#~*yT5I% z@3~2nL>aRD8Lbz7T$DRDFn;MYzTk9WjK3mU{fsu6T|F^eG3@*wFXxnhbd|s+8wsQu z38j8!ioY(wCPd7p#;=9B74}FXlxx7aTLofwpY8QfiteeVg~#-oCLmJIy6szVz=E=W z-hDz4vGdBd5h8bG<;;s;MA3nN#}AwP^?W%iXG>A;Z+~Z$-8nUN-?i#S^O0~&$In({m_HfrIKi^Ix5=8K7cn>doKJK^ju4wN75Xfn+8>s^&Q{`v+@chTuJ zzn2ZE|1{*}{m9+lzB4~$MQ)SHH-<=7-mdssW9xtI)GtZbs-J@5vfTHqXcs$M9zP>e zCf5&{2!!NojiEo1*)DG@+`M|xS#QZSdkB!P|3aO<00PI`S#N(44=(=$ZK98ZCT*@- z75n+2`2`TGW5^%!ZU4kYy*TXqsz;Czbpn`?f$Q`5`_Z-+8bM}U+*Lpf{&7>k1NXbYi-?#J(!_kC~L^+mNdlg!6{?N0p>K8<1&xzv25j zFz}CXI4LoHJbcPUWjXKSrdb;tT&GV=A8j+#W{pqh8_U&Z~LkA zl3s*!njG}WmCP|OV_MC-Zc$HvLtzpbGjjC$ENjI6^>(5v_y*;CECizSohyr*1*5j( ztw)C{%6yOia(TG%Qvc+j&G0RjlkQ&6;5+p#RqZFPxQ644A;gucSuinD-t?^~OE+Qm z`i1+?9Uk_#aZ;hr)i)K#T2{Uo@-QI-&niFmxnRf%*gy3X??yY%$&S~Jycu?r%ULof zioBRiN6-TCR<%~uH$2=idtR&0~poRpzJ#bqHgEhuG+|hh?8g$ zlwXDN4r*!rS17j&%68gycTu(dvKPayPDmd!+;hnNiCx>_faCjPMIE zGCb;QjVHf1uo7f}fP^874jg&`0_%qA(1{o2qel7`z^i0;Gg5DO-pCBhxER6dy|X8< zZN{8y@qX%NYzoqN zO<7ZEaz#Y#uqERferdE@TC*|wMQQ5ep{{uJ?5eZy)~|0nycWF86keQeX)z|=Z@=B_ z-8~h*ye0`=8-B`9Uh3z$14-Px=k0&jm|ltp4;AuYIr{H_6FMg_*EJw2ullW(U8|J=Td8DQLORdFs5`4NFB;L-LlA-(&lxLPLeKrw1SRY3_oOzY}Gu+~H<7vvX z5s%h?fc&`T8ON&YVSqkbFQ_zmNo1>QhTn}9`cs~57hM4qAIvTtwI6hXH4xl)4FW<& z$t5~Z>1zvuqTg3$o;d#2Z}gZyIh#(okMNq-iQ)d=dCW?Gp2QZXxWeQaYYeXFoWwpyVS4kzW%lE$_Gx+ z@`+iRAwMk4m#%M}@ge_Eb>gMkwfE=QhiBT~AMrV=BOK3LS$gOs_yGUJ=PXb4E`2nd zoi_el4t##y$}IZX;)2r?LtaZn+=j~T#{nxU_x8NwIcGeVoV&e@`PEpko0Q)Ou~HQ5 zeAb+K?%X!kprak{FKk@wH+gxxBX)k2naQo9#kZDmewcbcJ6|ph9FWsA89pP@RJ$te z&~F)e)p1Uev~Y;?t`6?DyRf^r;`x^l(LXEK);|8{@r~a0q9o&M!xZBDWt<{W;LVA7 zvM*EWepkZhf{kk%?qscLHM;yeW5IcUm%0&4nj)HO3b>j+Jf7kAxxyMK;oPlmCsU#PlGVrf(20$2!g0~- zwdx_+fPq(?l~JXai0NBNcdzfwm$Re6m)>4jaB}zJ(hN;fW;zkVpIkm~DTs5&EV$pD zKmOBvyLor#Ia$({fF{Go2lbJ-mJ1jxaF-uR-Z-9>{b=<(sazV$0!~`?=dNzC%DCgo zww~?F7moxC|1s)DRUv13hlL0T#iYouAn}S-zkSKLUnv~wRs%|jm8oX)FRjmebN$>` ztB2SfJb#k*()dGQQ9OJo<@r-Lne)TuPoX}N+Nro*+*OI7VSebd&WMF2)fI^+IM1t7 zYJ9sMZ?fxnV3^y(UXnMnadT_XzClV^fX>^D*JBCqRLe1Zq!I)QLOK`t$hQbsswZalM)6=bmbR5d`8nk*%2-e!;7YkF?Z;1_pmYLBcm+4-~)v)F2LC zsQ8Gx!mH(PN3?D~ocHG>f&Yg)hZ}(oI0hd6X2sl~{cKo)+1*&3$d5JK)HJp^`HwQ1 zt)?z1^zhkmJ+IKm=fL$Wv3xU^_K-NVd90-i`BJHiW2cj)Sc)}{aWKM;)F_EJo7tin z@(}!yl!4iiW-3oH#${aflhP+H2xgL$3iDW7iw$2qdf$q>Jj zG7>}ZVBC%zqL@Z*7fpwb<&LCEYs|YR!Y^yx_S!^*~$)>hdA)8r0q|)4QghqJPyO zi%BM^%*h%Bnh?ewOp1O;EyXmr`7SjPYp6GBCYhr&CvPeua254J!6eVCbRC8YY=um6 zztW;<8X#6G&k$P*SaBP~YRXQ5@ie{a95rR7(D3x$swt+zl+>6^?(WVRkXQDJJc6Fc zlw-Wf-CuKhBrc>bEQF_I8krd2Eup1hqSO@zp=mm*8itIPrwUh#o7A%*ZRY- zS#rMENnjmkEfO0#U>q0HLd6wWFN%aBW4SeS8z~9R1cpYa)rpcHgdN|5&m!S#Rk)LEHA$DY|%#nPo zG!|IL_>`%rH&RjACm;U6U=?+x2&+g1)a&RNVQ2|Fi!rw0E3WV9w6sY|z|ar+=*hn) z&*9r$$Ji$T1Ez(;U=J|6<3>2wIfXd~FIuUhTx920Izn4cvJWe{Vd#!6J1)aK2Z}{* zgX#=9>|iPNPJwb(78r8u0s32{1-$?)`((;TrK#w>@i5cIAQDopLH2`OfmLB zNT!8j!nkpwxw?AwqXnn8juv39F&S=Ql(BHljXB0rI021|~6d}?4{~*x?D$LVX-vLM*2GA@mBmg7| z5fb4ESt&QvJg(OI#V?utxYBDF?q*XLkl;CCfSb*0LkC6-I%jEeEZQ*@Z6O)((=8%PmF36r`*#|BdNqCmEa zQfW}0zjPSniKQ`%loYA{5a$GwjMK1}J3#JbDGhf3I7Sn*+&+Xwe$fmKqlxgLkQIg2 z?cd{N2M21f9OZBUPc{Gz(n4Rw|2tjLrGw$vVs5#$YRVY~dc9FiIY>1;I*@LADI(n$ zYL!%>8g0*k&_i-LI!m4<+KEo8gh}7mo~0oe`_X?k8`^|V0TvcU!kms1SCZX^e3W_% z?a28uCjpjkotP(2B)J0q0Bd0c)DRNilPyHm8jNSwsG=Tf5bsdIE~(6?+gVr89D$Gt zg=H>eiL_pVv0q3q#~umh(Nm|Srl?I|Saxb7fpMsHg0TSO4X!Z7t#Kt~uGSX}3`VC` zNyWkhgnS0nG$_X^(CG4EedfV5nqibCL+FfuNL>-52LSy}M9k2j>@|+CTqnoYXe=+4_>>`Pq-7z1T_#XnTS)r< zp}roUNk0({4k68cQX^Zy(eg%m9Y_p*G`5C1S%EnX0mqk$nx$qGuwcvn5bJu_hB?&1 z?o!)?=!0O>u1OV-#MvuYq)JW06LI!R78$5!PGc{T#<55V2vjW67la>QsWirUF>N&6 zqh8y-1v#xmMXgiQ31XLpxfu-bC%?n63_sIiqGXr7NK%YdeEg)#P*OU@QthTzsz!%< ziqZB4!H^eThp~A=CDoxE2{^c?bW6b8X4PVjT--IGng85?UBAGtH@{TuI_=cIC)Az8 zgSur>loKg-=b%G=OAE08Lb#hJ;{Y{s#FQc`P3eWxy!G5))!ByqTmr;Ht>B?ET8_ko zMME3ygcfRqMU(a~+PGpf?IF*uRZ#|NjCU2zn66sG(}gppQB~$&kZ9N$k^%7SugYf< zY1u4TEDOK`TC_g);fAq;`w?RYR~p-lJW!6o@7{7o;ZVWQ5LTQGe`IYmU}GIIXb($o zi7HrZaq8#`8k6Afp--Cypt0bEG?7C{51LKuPV_R5JHTfy3W5iws3Ksj48D?zDAEr$ zB6H<^P*f2^%hXJJg71yG@t)%Eh=sK9RD@KctVVeVu zfIuLg`m=i^lfo_y2nY`h8xglJj~zD-Nz;m#QhLgoIGvP{AjGrC3ji|`v*UDAT(r{m zX~j64p3(#x@C1~Yrs(z8JFIwVBORRRg6pRsp?r_RAO(o4VmPcpl!ExO*LTDd7@f-- zjLjCZ6O>evk}aTL!wB80I$8DtC3RJaaSZ_0ziKDY%TiM3iCOzR)|^plc%`tYsmjWH z%o7MFyBefvQxMVOU^YtufoMjFaQ{nBzyrEt2Yd(eFqp)P7=yK@*!1aSZ^OoUMe zPr(kAOF;D3cKuCJ1ccZ^Nzcc8-KR8$u$ShmsE>*Su?uYT14wPr2#a~Rg4(M_TT2Rq zJ6dk;_(g)K_;C=^$=YzZt0wC9vqZB>n(}o(+LZF;6sN2yAM3wo!9BhI-U4J$6_us% zgtpIX%sljQojOB-wNP62F@GFL)pFQgKziWns2&N@bzf_3I{3kW>VD zz|}fJ*#bQ911#)Mkq<3mbR{wBprhz1C}SpCET|}Vn5pg?)UXaIzoViYK_#ODQrTLS ztgDuxomBxSW9_w4$uv0Z2H}13BgU~Jr<9qH4=c<_zfw~&s071IwMXxaoQ@Z1d&q<( zP{6Ymuw0b9Z@GTh$$xU}og@vb%txHdlzdWx&?h1~4>CmtfJ7y=Muvt(gWc{|%qY78 zDDwC$4Ok#pIMp_8bews2;@VW4UOP{4dhooc%oAh=A7sa{sFX zU>MskAMzeRO8>6Q7+PomoF)%gW?V5Fb`Fa^@Fhmb4x8z?$_S$)F=0m)JM*jhciUTd z=x5_-*Y%N$jWQ%AiDYzGBhxa(%EQ=u^HkJu8OB=-JZHTOso^a0A30HU#BOw?j4#GG z(ZJSUYMcc&KpPFEdMUGEi{RRl*--LO!-f)DQl-&PnFA%`hyf`qk*)^GWjq-pJ4k98 zo`~z>uJ}ltmi6#Qq5*JSRf^b3T-1q~7}uin1+s`585Ue|I?zpwtCOX3T=%$=Dp50# zDIy8V0xw8D!ITh%99#hsO5wgdjQmBe0~*qfL>lLLJ_BcvkJJc5#VXSw;(%a`JfKEg z>lz5l8(?nVq_pF`Y?#{|m_dZbAO$g=2ihDo+bNp9S(a&Y&@3mx>}Elo z1ZXS}8oMKvHtiUHJ^ML4^Se zVUaszDdLF&9-apKpjhpz74qiFu;Ou3#|sR#W;sR{9 zRZ?FyKQZ|W3d(T zgpNU9bubznhC~GxuEll^G;i8Jr)KC9&FLaEk2xCkHGdp6zfbmaMxT^AdBQUN@aq!H z>8eBzTR}rp{?m~ms6`5jlA?|>wVIrQ zBDK&;fKW##Wz$HFHya0&BRdNuo6E$|lS4%1U5E>kewgDz`A#XLGaBRPD=B;RWy~=g z$-oe3j%x_sAE9$Gu7^E4HXK?sinXZ zZ-8xjN2!m0i@~8zk17T@uo{-wmW_^~0Rv^a8nYb6J?1E(od$YOb6DSq`fJS;Y2~J8e2K<&>LSyF#~# z?&ef$;YQVABlx6CGL8II?bPh*HoVs0t(_aEc1Yt8x6gGdDx5fb%4WR3=7YotBv*`0 zBkg645*qng_FAH%w#w=y8tSOxxkODZkUf)_IGhDDG|0E)YQ-Zcw+89xl=nbC^)-pP zTSlFlx<`yiwKC6Aq)DuS``=8maXVP2Lq1gy(W%2dQkB0;Tp_zOiSd>V*PJAdo2WZ3 zSBLzpTqO}ejyNd{au!0)UZNnCOPfKwN_Ao`Q%#brfYOsmE1`5Gl=29ZG%hWU@Je%H zy2*V%Y=qMEq)kw&n~RZWh?Qv`%zJ9G?I7gONjeJoEjbwZhPa+)<9}WDrmY(C*CaiK z{J+3#9xJbFYlfV4N#<`~z;#)Y-rE`|tAJ--%B$MmLS9VLJIJ{OwLKJs{%J?vC)JfU zjGURo?;2rF_=~#Ja&*X<>a%TF#UeO0$060h-kQ-x%s9=rmTES%74+1IFp`s0(DPg* z>W<9;{;DLdop!WPNoPSzX3!F)ZD~r5hLRI4r$?~v$-Ub6U1bi0KSoLu`Ca93C+c>9 z^2vlpx|?XF)VGP>B^iavKSKFuxD$12vUR}FtlOrh>Qz{q5KeaGk3@`L%~1o|FvOx=*kd`J&<%ze`(bryJeErIN)VwgXw?UB)zxvAD)+v2Wi_OTf(w7n)5?9v2?>gm$R*ak>KL^i!Ri1(0m&!Ew zZBd?t{GD>5Rir~6QKmp6H9@OxOg1b$_z82F8l&4=Gh>N!yVh9(N` z56EY>szuax#dHAl6jPz&HwpoKcSWNLhDy6-L6G0B@rU29n#oYgl={Me?#Rc%{c`yj zG*G!0{8~soTMe}H$J{1&N9CH44$??CZD%!(t-J&^^{0m2iX=)TDWMIu22vU%A#AW% zVPTAumB2cbm0%sFr_gn>3I(}4W|Wla$rV}%SP6{=R`m)h^k4aWP@M~I8r(~5*2=&F zu?ubt&;~68v=ui66B`f5$T|%usMJlRGuZ!#%Cp16oyf21Pq-dlDb{D{cQSOjq=9bs zSKUFQa1IDL&LmxiapUkoQhiTAs0JC2l9Ut0f8wj`-UG97CbvrU@n*@Npsi!%VPe7` zeoOuWSz19|kWTB~yxuE8N1+?ZjS-e9sJqgEkbhFz1q`ZGiWpRiv>gQD=t|JafegmM zyjMX&hs+G{z=bp(5~woMFvnrIy8sBe%sNU*Wf1TG@X+}#CydWoixNQ8c`yAfkB85d z>YLh7aSMDoBGLRX0TSFVI>+!A*sjy~bQ^_!Lv%C}Z&F|1IYLf(YVOO3R>7gc! zGc0XwNU6pp!~n;^N@Yvt$l2myAwDpLb8=!*hMnlN+_Ydw2oEw2$Ulh@pL$+LOGAdE zwHlo?#0ytybklU;x=dq6o&a|pGuV(EtLAqRoLHa)I!b<53ET~6l2hfHLBVqB5d01# zH%fV&M{GTG^5kZR-dDt<)w)PK9YW2_9h{u-2UaxOoggcIc%NwbpZFW*Y|3?^+0% z*|d%uwkdhP)Pblv&#~DJGVS0u7?&#qWypnI>*k^Zo*UbkyM*ezjrD4e@=mc&Asx359t6gPTWU5wBuq}_|Hp1S9t``v z6jM7GmUuka1A8f>e<2zSYqr)o@~=>X4}uyq`rIc-sKE^Z4%NZ|BC!YfNVi8IgN`YA z?heM50Ye`FgZiH-5Lq%@VIhQS8pkDAL*;2`V8_%@H{d!9u32z(hU-PRI>9vqY#okp zJp(WouBiY!!1Xv>IdDyZd^TJU0xTK|H+$j69ywFGEAuVdVB%R z)u=+3OXIo;8DcrrqOug51IBKvpuFEZ!@*4e?Iv=RBM@_NBY+rMh$#jon!K(U0oEm& zbCo1C|1OF^g5!tiELsOPjNs=cF(rjXKK5W00~u58DQ5qx`wb&=Kp&5U{Evj>qLav( zlxy6DW+67@NwqC`5)?>ta)1IHzj29T8pn{lq+o;UDW~Fr#`y5Ty+a0;JCjYCRyg}D z5*kj2bJ?P3mmnH|%%f;9jxZ2pCgh1z5I+XR*N{vqMbA2yqjF?2Qh$*NEIpL!8!u%}zn3LT!v^*{I=VRcP3i`9=ck zS^iLSu{s(`_Ys|!Sbk%ndmXS?VUUzzKfA-#sZe9JdS^aD%4Br{K)Le@2;SS_#(7X)*;%eApA3PaWIzefGCjvRPAxEf12v%`Oca06XQNu_d zDo>OmQwj0w6=TtSsEBb;#a?xa_`S69D2&~-K|B5qb+8yl4iFlB8!YBD(wY%BZMqf* zp`b=2X|d7(ni!w_rVVDXAtwR0`try157Gm$Wg|_(=Z2smKh@TLnK)Z8JH%QPXG1!x zq6Nt~cL;g_sK^r-$arl4~G_@Y(G;-^78?qBxM?}sBVsdEC$#74s&$1H@ zCby`)LJiWGadT}kH@!w?9JtlI31UK+YTtKi_qDf%$PRE1%hNs1}l5lqE^G}9C_xIL^e zOEJzeB@b%|_Ek%fIa#2gjlp;q>P(yZSX`sYW3V1n`mHtJF+N7W&*h9f{zxt{zLEaP z1ltJ-QIS{;SiK00%L}IwgRWzQ={2+KCRf>T^!I6wL!Dian23oU!8m9JI0`uAp@0kSceG^Sj2{Fi<+KJKh1(|tlNnNSi=FC88;BtW27cJZs2vQ2GISQ0b6j1HaR{S{Djv{KG~O*xC$`Q{i}P3Vz6F1$ z7}-Cpn*N-ZV8@}u`hamq--cYN!ix3r-u$USE+Tl)r~-CJ^n0l^5sox06|xb*kyar2 z$OgPq95Nk@rTW_Cy(}MxCu{RFA$f#N$z}8OC+DGDGbK%>NVSoc0 za0MZp9#go$?;iO`97{oym;`0BAVXWY6FRmbf0xrzAUX{;g{g`J@=AldfvQKqQ-pFR zP|wM7t=6*#8&(6p4Fz>h0i+iE6j(|qK&fDoeqXBq>2uHZA!CtngcSMNKsC`$i3I|U zVTl#Uo1>sMf?3|~U*_RVdTR|h3Tg$>cpWj1MDRll0uo}UK*y2}g5nX4A?XC62&Tmh zU=5q4Y@n(>d^NSH%$Z!S`~+;H?O$fG7W!ls&VhbLDJo%v6JX)_ez5Cdjic%mlo!B> z0E1VHHv?cdg$}as4boxDsbU3P)P(qm1x)8doi@sdj1l?Q$*HF@M}bqA4Ma!CDSxfZ z(`QRZku@^vvR0P>!wp#8Wz;z>#L`+|OvoTL_>i@+0dlJ0KRSsa$e%K5pSErR)HMYt zSrv?22wVCe<*5yuVD$VP6tu>_E|~#CI|zP|+jTm5eNi|Gz(o+BPJmhegh>$vZ`0L-G_(!794TiSk@$yDLgs`j9}osi0aUj*O#SzuSYYw+i+PGy>Dl zu{@#3lC;<82V0RXQcF@#trt9qgy=^HI@nMcWjA1SdqJzq<6-P#>_|I+7DZ=V4vf65oHqNL}x>#CZ(k`~s-y0MTm}Tt9>86$#f?O=K8Dd)K6)b7nx! z+n*6BQ{7DK3^`LF>!4QFL>`$v;E@qP&W`?^?X@T{MaZYIO-ScELE*dvHi*|kejwC| zsnBtCYp@M8m(kqEm-2}KF;_Gp*fz$9{2L?|A%7gynOtE-Zj-ZdEAobP4CtIJ16_#a zY6Mq&E9f&qz8BQ-sNjV;B)k#33hWa$7Q2SK#Elg1%O4K1q8y0dkjCLL7~7KEthOX$ zRb24KeE<^56*>f?=Vg>}g=7ESfC@`d$bbaQrMK1$7DPjRRwrsB4~IY}igFD#8srLy zLj+cKH5zZ$RpmQJLDq^z&evK+bjT zfkOw=2%w8v=;y%c@`Qa(A>>ybqR~Ym3Eup z^u2^g-dDgE4Bp%g(t9qWmZ%U37^Nc46!Ohis_zEfe_=%`q{Hz_36p%MA-)ybx*;t3 zKuU$=2t9N|M8hrU#ToVN0+=1!siml-O zy~YZLv|Z~sw9uNe&FWm@3>|1AO@e#C!G>yX5clN_gq(@m95=9L(>$$7zRHA5l!Fqd zM)sEfF2NmBMqixd(_UiDZA;O*3LWTeO~p?FCoR;+t-}W4(7uo(gauG~!nPZw9S3vj zPwjJLoEh|~(5luD<>W9j&KTeyT9_;LjI$;wr3pD!{wZHWJMFW`l7=y_l{c61f)Xh3w(sEINcukhLww2B>FHy>;5|J5_IrL zSmK`}#<(7zscGQN#ScjhJa0_BA;=~&i-sAsVO-h@S`Mv~CZ`d97maZuLcQY56V{85 zg&4-2w#8frH0t(jUT+w41R@O6K~|(SYN%XdWO0%xrtY{@H*bk(;TM+e$hy)_n#u4w z8+;io$Tmd`=U2F@Kt_y(YrJAMz67r80A2*w)r$Y=hK8I45d1YngL2R*nG2Am7V0}C z#^fC!N;{>FogD>{+IA8dc=69C_(2|5fqozLmzYQfbsEsvKnyB?Ku+#v5SK~> zqUIdB_BrS|rm;;%-I8Gc# z*vH*}+J9%Qj5-B9BT88%N566XJ?FOlTr$K>5lzfOG!Rnna5yH2U?Kwgb3GA(kU_o; z5K|r`Z%A_?EunOYbEOs{#EuSVRqUU{Xc{1Pg8C<#!vONuLO;>`M%|P^8(>gNb78_D zC(04R%;n}19iCoY036FjKOUOf3Jn2uBjkK2qs!@%3wzHm$4C=Q10mb0Hm-=~#oeS` zERm;-vXgT}W1(X3{~3k{bS%}{2GDA|0o195eh%Z5dXdN#p7QwjDHPnG4W8E4L)Kbm zjv*LHq}0oQa||Je#x{m`2k5Xq?`0wuG;vebx7>=>^9k145&w7F4TJ`6{yUHX0A>H5 zfkdidxfh|0*Vy`Ba(o7`j0o9AxE4ct4CyJPCP+X)rzB4GN@yfP%2=Z=f#Of@*#hVC zhBB^LDyhsjCe6Taya~AVP&e}RMkqN4LSP5HW5I(hr>i1PmMyTSDU$IN_zXFF2$y7m zGm%lj#!;L+&C`k8r6kPDoxShgDe4?#QdYae+UTnu%|YuY+oGT~G06uC%;RVs%_&V{ zhu;AcaGk^zTaW^?U>g-keCFx!KlEf$Cg% z%OVR1R$reUN?o4u>-W-+iEhF0vPa5ZV3;y04-{}-FoO)%0G#3sVIf@7R%2ZZf&D^l z(&m_fv)Q_s=HLM6y`*vo&}JHF7{yYmTSWH2EnB;LM<`o(1KOhDPWR#t_8j5AW0HKsW|13l4-v zFK_-7DP1u@E3WC+GeBHpi7iNbwUl}d!p8uzRSHgG;KUdf?2o34xHLVKnK0WRuww0x zWL>6ENxiDTDh5{&+beN%H-(u15Daci2HzxjFu9?O_`A{}8a??lTnd@6kYJ5?KWfdi zFaY$75-^E*icysGK9Jl@`4*&CMI_|sNUaONff1)$Ag7EWpvAgTP8rHHJj=IoN?%4q z+#SWXAX(t8J_xf$O(SCU8Jg{cga}xBIdS2xKV!Pa5ZZRu5bbwuMf;18eGCmM9iIBh zXAyVO0lKpG2c}~y6iz|qj6wq~Y8dqplL;^M&_PK9AN`0Vulys05nMQ!fB&7w}s+M0U8LJJ{rtV z%+g@6@TyD+%G2#%vjCE>Ys&1O9jahS`W6v`tD<#iN@7iwrKm^IC7CG32v-R28K^xS z?TXJ5o>&JTpoLl$%@SMOKzsjQ@m7*JorXOCEIxr$1L--Wzag0x?#(kIFM}#cOQB_H zz>Q@dhH-SV802qCDK%BCbJYYEk#EzlY6AW#W*;ehJodzFPaf^076>M!>!rNvD z?Wof?u=zfNws-r%BJ*KTHnH3Fc^I(T1254!vd!pQZ|s~S$RDEfws#BLDp9~#h35|W3*raO|?AtVKbO?rZI z&e34`R^&IOP7V$>9yc5;t6j)?#{^$>7FbpE7zGm4ryKP7Cqx%jNgN^QP9JZQV9x)5 z-Xw)-FMKrJu$!`!8UAO|^|0k}F;F)`aWyUx&wx9D!X*w&eQ<{>bW`-R_)_|6@C+voBwqu^&Ism`i{-j! zFgTo6WFyQT;ske0BPa7A0EqNZSdk_oa9IolrvME<36;a-^#00!YAXl)TiFUK%T%at zKGZ!3b;rmVRL;O;i*+7KkCJ zPY~R``~Tc$cO!hy`#a~q=gdxdX71d%(`W9zQ;W+~!QeIt{&DVHWv;V>3E+`PwMnd64COUG5PEDmFG9xCKm+f>c1He z3|8QNR*B;Fl}hx|gB6Bi_);a`&IijS-z-OOgvGgr*ZyRSZHN(Bq#!=?;3%Y?jzhk6 zlR#**BSD<(e)ni-eojkhmP-3>mpXKw<8eo{UZ(3`akfJ^5GT&{4lusk6(hrCbZ4df zkE6^YY?0}}kBOVuI9vz-eV}DJpVovHxt1i?DzMLf+SAJ@Xye5^cY=7Yok+aiNmo-E zFbdCj5TaXfg|%4fK8cE;v6T7|9g9XCu)Od|pW$FsQAH1d7k5coxHpqQlv`ovz$7A^ zu9XFF(7s7w_$CM!Mz`AK7Wvr$VMpu<;wBg6n&7mQo*f}8c3A4p#^3q?*k6fk#aP%1 z;=AjQON8nt`A)AtD*0B}iEO=`M?k0=>6AJ_Tm*P4T%&7=Qo*7uSh#v&{re4JI+a$i zEY6VYUP0R*;j~;ktLYQ(^bw@j`S_+CAV~5_BF8K4Z4x=k(Q-CnC{jb*%`)oKiZB%* zUv^T!oes;UPp8Ss9G1(Ujxe`7l)5}X@1G&lO$GFy912|_{CzSeRfOu|#Z8V}ZGRl% z#f!b|l;OZ!wbVcgFpl_Q4$i35>GA!32Ynxq8zy}(%vF6O)9Q^wGLmaYR|uQ>qmCM+ z82xfXq!_(&mEVlE?ES2_Y=Xnm`q{R@57`G~$n;@g->7kA;eU-Q{Q}ijpaQ5eR{JY} zDv6dKI0=2Bbw+_!EO9G!?%xUx{VKHLaCeZ-`ddF^|BHfV=|vy)&e{}5WV&cQwWS|i zmh86rlv(!BtdvWCpjvyN3D|F_@{jggK5wfrXL}~*ebz=ZU!76V6oXM|6iiWLxL%=; zt&GE>E5;aGk+jikZSuxc61#ew_?Ro&*h30C?2Yz^nO%{_C@E~OmtsXA?HX6OF#=&U z>DmmQ6Y4FrKv1Es*6z&h;9t3we+=(u^<)_alSLC`3S4##A z+qk1t(Kfv@15oOq_C${#DjHNjYQExs^u<3Cn| z+j8$0d3jyV(cM9L?jbd!v5CvYEembSDslcWpk}x~@%K*4=U+TM`*wG5->|lA*f^gN~f!HeK#gH?SS+a7HXgbZ+BZ)cjd?IS>Eg+d{8pcLC-bSOz3Y$9(Fz72*M z0&|-~ZaMkoJPcox+?KR+Depm-n(uzKssr!q8UU1R;aV7$g$Y^gWClkEGNp^&=n`_4&~ckH(47F3X;;3JTV^ zl-qFOeN%!Gw>&7|0B^DB#HvxhFV{b>9gK8B}!EgCqC@7RDV4sK2GfG z=~G*$llA``(k6;7l@KRpc`P4&T^#nDi>^^<^U-w55`R7?{LD!@;t!{h$1S%Q&+BAG zc1z9qv~gw(AHTNAkIcp92_im-Fe4buF0eO-C{*<@szzdd`c%5!uDa%^v05K&_FAvC zL^Vc^lWRBIzK{9R)++zodOs8&obMYzYe`tn7ymnXJTUiTEi^HpXb_`_n3Opte*PGke>WhIp~BGz7uBJF1(4C16YZz%&^I z?k-%xMm5VSz$4dkBT~T%j&I*l`IM1UdYr*OUZ+ zS-OG!?)jILOa3RaPe`!pC0K3Uus$da29>|C$BFmcfKHYJBUl`UFe=fVQo_|z!bXp! z@2|61mF2Nt&A<{4T{QM4T5&f)M^WBp%?# zw|Fts{=6-(AShXG3EkNU9ut`b2OAc&PAN@-#MclfzK?tTVn7PV?O%eu?x;{dK(E#J zwmkY<__#6C8O}1jCBgb|eH55w(h$$;4&ZZK%FBmOD+=Jls2$&ZxNN)umoqlYh2LTm z5?LNM?Wj{rvf_LH=f&<@Jj_XKeW&`7f3d&FaoS>4y9VbmxDI z6l<(Mf7A+2HUqVwk@81%7DR+l^F| zJ`L3nC!TU=88CTE(1QGk#fk4qSyE-hi9g|lipcV+I~g%u;8u!T4h|q+y~K%|y0MEB zKl1+DMsiFtOO(_?o`7rwMmkC;o&&!T0o~w7_+uQ2odaKzznw@3kMtu!t#R*Ks3^E-5?rM1#{tvtT+gg5re`;0Wdwok6{M)e}}!=_oT+6C_4s zQk0owMp?xUTYYwK1YLt)D;f(i5NIVlqt%=a2I7UR0B0plVPLQah;L5gms)osV{@bOgrf5U?Ox9DisO8u75llJ;l3?86Yt;6F909EWCpR5N7IZv8V;^`4_z z@*I2o&oIXJSomL6Ve7CAY;Ro*LDOW1OYRiY>diIgU*p*S%4w~EpLo^B?tpUQ{ppxnk*07MNK7zer1yePHmfzGc z)z|Q!h6%rosg&Y2%i*qM_PFKauKDpL)l5aj9)y^iL4r!G=Mt2psVyJhp=)xFo?F!1NrX_Xa*DZu(^d!>Z+`PN5)^=`+#6J+okU?w6>*AQ8EeF=3Z%daDxv`)q2n^0F+t`z@+oJL>U z`#R;d2LcU(7RMq3l!hQK#fTJ_WXLW^H*G+%w>gfjtLyPuSJ4??Rz!V6TRGKGd?`c54tNg)_oF z5wxD}{>irQ!RHOQaT98m#)>;#mR0s!*`t;=`#d(?Qs|f;6$=*2xyFfx#A=ElcUfL? zjF45hEEgP!>~D+Rv5>uGnd{WC!pwPP(jslca~+j6UGNnSW&|I)h1DCaWEOyevAvz$lSW5tW; z^ReQ$QlF0%zqH3flbuC$E$Q*i=S9gBPCpF&qKmRNO%Y2{M@DqjsEs} zZDgcC1ftGH#0qq_sR&y{<@35w_VVLWwOF$G2PJ*&=m+fV?1q)NR?o%4FQO zm53Yn%l;&>ToG*Mib*@KeKt?{~oeBdR+eL(iKJ zGT|cJ3Ml&!a+)Qm7QUAOY_N@AX2@+%BaIRKdj*w#m27Y*P1b@Smei{GaV6`crKz#xE~eT9s&0gN z3g$ZexHHDge9b!3%Vj~VGV~*)yMp%Bd>5Y<#4<;GmWz|7;ZnFvITTH_7HnROGt~vC9A-fyCgG;mAX?x*Di>?O*wG4A0Nb$8TPD@H+xilZ3}xx;Zr(4H4PZrlVJORwV^BjG z;-O{tB3&gEgH)n8EBW3~hy!Vzf^JV|P{=$71l27gE9H{XOPAHbe+p$fLn}t)81Y?4 ze?troE@H%w+yn)OV+;FM8uWbur_e>$tHhkTy>4E+T>JuKwIDXtxvkI^sq5uOOTmq5 zmXv(S3%yEGMen>Jb(^?r7Zpd3ZKBVtNEsImYH$dA?SST zR@#X`jm0UcN{L_YhzkB9{&hGrMCN;PFUZANm~lE$b+No(1REZW(=HuU73phm8r)y9W(W_LH&9BL!_4taPXi=rp;K2`5;C- zYSSaxAw+*eitg1ZwaV?I5j~w7BH19>Kj^E7J}6I$zQ&e==rxFbuN3`JL=W0d$|rxZ zgAD@J^lD0jd}hB^nPm%>{!{8FW@v3cqi5+g1D(+>2p| ziX@kM>@=OR9yy)g@plQ`rMs<{`CUvh6q_FXrc0&m0Fi#fq;LX6dVwcL zvz6?9{!}y@&NlE5quC%fihC(=CSTcuC8SP)wkN`L^tC>R^}sd5S=SIO?t(NcG-dF; zJ=namZ-K>h7{Yot?CqF`x8KK9q$A-$_(#JSV2;7eeSoRnfxQrRJ!~qS+xib58^eZ1 z2a02D^c7$>e>MhvZ8k5|u^6=+?4UH|xUIu@6(rhtP%O&F|MxVG|(1c@bWBc6J3)~pTQsXB9&S01TFT!ZJE5i8$aja(~t@{40 znu+|?ICO|;elZTD?!l+WvwUXc8{*jzrsmD@_;!Xn<5}UDQIVMHv!P@OgwnyC2R9Kq zK;S2k$6T0dm`)HDsqVZE0Bovw_E}( z(|B?szJ>DJ64_*SfuBrdRT;H7>+T`G6!o5YN9 z4h)a)b}5)(iO2Bv;Zf>fd-xMcEOqc}KxaD)p?yE>=|GLpUIaG@%GJPL{}59VS$r+J zPgzqq|1pVWGA)<)WJ7{9HayAg<;6YOt;#>WE^7sUxhI>+TDZF>8tXHBXfm74uJbL) ztdM=r-%rN4K9aK(){7nBy;Ioo8FP>)4Rdpl$5FIJ%8PI!d(J)yQD3A#hx=RZ&^D^E&e$>u~rjR#hbMkrK&0RzHvt!?o#%JQz`ZF#p_TxJ!}EItKb^)3SbzRk z8tc7~pNg!w&>mSqEDh116yY}YNvyO$q32<5fO!_C5#}|RwJ^8CP-A=^wP=8QK1@yH zBS&Q73Gfdjg0p3{D+p>?m|h7@A4~Xs=^#LnyWC*Xr;0Cm%M9UNG9gub-ple-Z6ncn za~qcH1??y(x`8$n_b@5m)866!c#nByc|F>Q57HNJuoN%KT_DA~*W1q@Z;dzof;?|n zTaGjw`~^j+J@t7~T!$-bf)sg?H`5<^o>z&?)4GACOA#-*lBIYPz3KjVMsHAFavRi> z`ZG_E;(g8+YS@^v?WoX2?Q+$A*ymyP!F&c24u;^9u&I&{!uAnI<|oc6=fA{xop)+L zoH|#Ql<#Fv0KbbKB2EB5t=@;<3O{~dc~boNwRvQS7r>8cefZ6AMN9DB_Qd+*HGAZU z=UYZC*E4OTRE}~NM*V?Gz9EApjGhlnsWdgPKZ3aj=4Y7uVBRA)O5-cZ(Ydl@chR1&8jF+Mpd*b}jhkN)P zTBbL&AVnXjizE=%`0$Y)<6;bu>L^eg7IIZBVK_ET#i5x-7gC@RgM~w#LU@KgyenFG$`)0S zD!S|{qvFun4mLU1sHzk!Duc>Mnnm@tX8n<77gP!mT&mCDXoc$eZ8$%MeX&0(GX@S- zBQ2}mk#4zYDp+BB^aupG8Y0DIo@LO5%`%|FU8O>$-^(ZGV)nU*Kb4E>DCeK$VskN& z$K**$iy&tuuLhg|%QJT`)b@dJ5G7vA4FTR%%bQy&ZU4kP$?c`R$Z26<9#2O8v6 zkcJSX{ZJ2>f8d{hC30_&&ezxk>;wL7?@p_#+1K8kw9m=M$_cffkJdhiznITPvkCmC ze9ZBgd_Z4T#a#TMzHF)aeRoCs7F0lBFB>G7c3FOvNTw5MSPp zskJp=`V*Vu#>bD#fewIZahN^U7*~}9kdN8z_~#^H&+(0+=70#flyXf44Kh9+k<$&AX@z1R!|v@ zEb{Cn@MYLeLJ+-9Kl9uk>;ACLa7{PJ^vZoHVy>&|AMZ*f_}zcxxdT|TDoWhz zi54HV^9cjkg2=_rZ`&E}x$znSq;<#f7DT~@;JX2AEX(7%fh@l=Kv`-q0g6*&*Z@Oi zp)ycI*#ko>FIsic>WdoHNrcfLb|37;FY+A&F^HYxZ3Eez?0H@?h?SVvIrgG9X=Ip- zI4f}rMe*0d-T=ce4b&i<@`lW5e+ITEuk}iED!%#rv`zYiZE362kw4U_O8otF$@!(+_$Dfnr z-~(h{v76~@$j66I`LFoEa(Pw}7L(g}RS`=n{T?L^bVZ`>n4L_u1U8*EJp(%nW-Ck| znCD^o!MqMrf}^B?us@FG`-|9w(zk3|F9o{y#|Vc)%0`u5Emqmp;uuK5sEQrdEp+K+ zOsb{(Dz#YJMC)ccZzUaAFQ4MWhO+*BA9D$LLEk-@2R3B9M3J&yExrUz5ELU8I#i1Q zWll?A>$C0z-!YU;VEuTOx=Uq6gZWuNn}hq0AIw|h-DjX<$I z{w=l>=xX4n%`j~nO5pZYoWr`1uOH3^Xcl_ez+aEPP&()6r8b3j91em)E(qdAoPYe< z3R!FqA2E!TV_}{&0$B#}J4djU!_RvD-&qDDi-H@noCG&*s%Q>(Shw=zktokrUNw@% zv9)~hNH#R?5)K*O?PAymJuB^lNZhcV9~;R^;!31Xa(sF~`c#Zhi+RW>HZ}cIoDoFf zaz9E;clpkK9=xkkuj*2ITht+bGs6b-k4SBWT9|TsmEN z5+_iUdK6L*<#D5N%rVnG*boKnD|E~eCBB5H`~AlprO*SAjfg$?!qIH3+2qcL_PQ-N zu_mH58{mGsxGpI*9go>&615VK?89ErO5&!{bGG+nUQkst0suQKV# zVgcg6TP;&%z#llypxXTzekJc+%!Vhc-L01dvB-}^HIPX5Be9H|5rKB6#VpHAHMI6M z-^lPgKwzTQDg7~Xofz@Z1_b)AQ#F@h$wDLWEKHo#QXAgee@gqvS z75qj~;$cX^Cz+u9#s(>uYE|=Qj7Ye&q4yN{yb_kg?&NDrSh{(nosK)Nv}#E?`b4c! zcF+eU%Lbid&<#bC2Az?Qk#siLtslF38ZEX-n$dkeKW_m)^MKq>zmbE%T<07GW`3J> zvXjQ=9$kz^h&rrRKC={u4%hj!rEGM9T>Kmh*mt{>XmF2oH`sr8dntPF zYg}2zhQuXcmF;WoHQi0}Ek3CXG0OR!WtfLT`0g?mgDrYv8OF~te!YyPXGMy7TxCW= zbH`{yB-k#Z#A1oBg^oOjSC;4p+%7SwI>yYtB9_m@L~>;b;J95de* zezu$?Puqp!1ezf=y>;*h#!ON~asYlhSKJGmihkp9CN-|FMy9{-K0oylRHY>Au)w4(oz4p0~pKrLu1%*V0;b&iSKp=0psd!jLrPq z7#tZhetirp>laD1%^BP}23*!qD&P+C^MT2s1c%ZFBkkz!w1fF=dX_#W5*$E8H5u{_ z^)aLv`{N|JAM#%1$&$L}(bj&K`WfCw2adruV)V7gUWb@1(8=}piE{q2o{cr-Nf`cn zT0^7MSN3BUg_aBuAkZg?fHdU>CJbu$I}tVrap*FcINeskzZgf7#5_nB%EW9&%p%n1 zLA-m%;t;2nzc?0ahfny)v20${+i!;IuD4GCToufrO}tM9(+2tWHvEa7Epl6-N?KJcTIV(MAOjniwcnd|4EJtRNLx^1TY;YKABsZcID)VYJJLWs z$7VZ%3`rLi<-c@lM4-jY|a5-UIGR0eW9@^x+Uj zso}YmY(o$+VU`&A@k-W5HscKcrIMBQIP19%$ui&4WGc#l@WDp*bhb)-&f^6)NDx@> zXkANEF0{t=aoFJ98_?>v{rs|#Jx;Lh9>>zM6FgWkYb~}4+R?7) z!EJW7Q>eetGZGwe!VV~JL8pjz3_*XJg;|TBs+>_Lg`EQpiTEOOa>YcpVgivj3go3K zsD`P4xg911W(Ewg4&MQrhC$jig~99wixV|FBf@AdD2GV^$(%j->51r{+xd?Z*)kmF zI=p==zz$<$y8O!FH8C}E48Dt;q+2dR9BAXFNo=qpT)gJxFHORNyqW(oi46^nI!T+c zzpS4)dBH7g;%sWvfxTts~F61`tEyLLe(t8y9_mWFd`1@c=b&X z-jTHQGjSP&!73X5^)GPq*jX&IN0k(=(+|Tqo}*{tSoa&1v)Bp7Pt3t;@e23O!Bq1t z*Ue>F><~B1W$7~9shZ}pJu+N-MBIwA(=~kbtvEZG#_zus=V!zDv0It0Pj5&+3OV1l z?Gcn+1LcZqp4BN}{_|Qjo?apt@5Gvtp=Cb*)_H*8;)V0j07EouKo`8 zK%e^@>-8Tru@P^yQMd;OW$X1Dn>4r~`hBSf=XYxDP|0<-m;ZSO>lshgn%)TBu_y(x z45Y>)O_rCZ-O2WAqrJiK>A?@6>WUCNq#Q`A-YMVq?JD(lL5tVQy!`T=OcU12Uer{g zmv8e}Grc^%hRsqpdv;Z;x>Atl8o#dwUFjO%iJLEWnm5<5Uh%y=yBdVfsoG!WGu=@A zHXB34JKWq+!v@QSVN_YkN>RCMR z5I482WGS*=+&q32n<#5?bJHp`y;ofP@l_a=9&q#hu#P`lrK=ZdNV_7g(Cm!o* z{_`r9ko73ud(vYm~qudn{LhN7P1sdurgfR(@26NV&kFf zsrR#bnO6Q9$&W7vpLu!mM#Xg0_YrW0M^{ZL-#NKXakW#PyQh|8shzAW8 zZOu(Yv&X@J+}_@!K0_b1FKVlY7@G0*$2QEph9J=V`$DDXCjFctL84QlJTL+F|Upxa&Yoj=xu0qldeD5;PlKe+~b9a${zdpCO| zNEWA1U5kI?#N8~2&D#dudr#<}g|5B2yK1V2)oPE$ba@BZKNoa&fUj3qtH@1nU12QN zCM^xuEH{$$-15q$;56~#fxF4;zB@{Bl)182U2RZqd)q?96f#IGaIY8gz`5UXXj~c+ zf2(e4{5u3*wYFW!I3DCvCcG6{%x*NuIPt~=WGR&S= zy{n39?y3$q?pSyhM`8I`Lgc|5#2lFd+XY)t$coEh65)S85oh!X{Oz^u7Bh|*_~fMz zj4QiVx3El<$tTaRy7v64`;1xoS=BvFrJ`Kcvs%#V^wC&s;UP1~G0$ia>W}E|s$7kO zG!JOVGO@9gxZHL6Vr|v6b1PLS-+E6m;vLtKQgrI-ci2>T!ANI1cKw`L*?K~^uyTpP zV_j&r{oO9-@||qB++t~%BNc53k}vSgG|a2;T0=e45jNM*8(}j%xp?aD_iyFf3Yy;2 zO|F~?0A;q`nJw)TjAuYr+N|nU3Tyl~-Y)LJe?C@2|NEGzXAz6myYrUl8)Xp_T|6}tHuO9vT4kU_jZgP(K* zDo09HCbNZPK7g2tu0-MG`O8he&Ocz%E$B2YT;R11@MbJ6*7h<6Bc&bO{xZmHg7e*7 z+8}KDL#M0EHzPAQ6j20c&TY>fr$u8a)+%y`F70Vlw}vCpPwuqJ1gSYa;`TMCKiY{t zU$}b!80ibX*L}1p5;T%!1R?x=C^xKY$_1Hw+!@hU{Ew_hh%w$UtGf7VbWCui8)}Yw zw-3qe)gF|Y)vnCcwNDYEWX04*ggRFI1=_{A1Y9%2gupGe8zHJV3+_@FYEN@u-wjg_ zLv3t7?5IWvN3Oz9kP%)0$z=~ewGQlc8{Kb+>)73@DIWXpO=I=R7of%x!h+x=acucr z4ke#*VJX%RI6iR5p)KFngdQ_(GpQkBuYywUF!8^5ltfu2|FXT<4ue*YUM zHeiXEsTLJDARwikVIpw@4{ytWx^A`D<<>ws|9)>6BvQCyBdeb>8RC8U_!cJ4!230H z146Q@TU&Zd@t?znnKpD`;vhg7(Uyhvs#<)^oe3zVfMSw_V(9x&q)wCIXl(rVjqGvF z2&5$p)DWiFbuvYQ*9Y<%YA6~F6GLo#(_`!b%^wiK2tp2O@e%K~lc}h7wfLPY1+g}J z)Q}$I1&_0JIBXi>-PjOnAa$E+aU0gnYVj#o5dQUuwZh9kc^s;D9sn#~jtMv&80jzb zau=036Y(m&Jn9Mdv^Kjt9_hXc#EX&QVHqJ__3$%KuqU;EjPJL!_VMTYzNj ze+}a8#LeoH?4Z^J77t&(4DqX43#776b@oHN2*ewRgVU$j1=_QwJ&o#tt$&(LBm0G? zncht6_F}Xdk~)snN1eO^J)lt)SFB?kIr=M5f%!>8#0?Knkvfx3YlWoXgr1ZPfv-gJ zkaK@szX<*`$ zd3xZSPazKW;t@5(*ypOi3y#%rz3kF7?1pMrwfKUI^qGhyGV#MxW=RrAQuAH`D*P`w z4OL_D)%ChdL+>wJ5eQK3yXWk5HyVjn^8v`)9nbqeiMw#0&`ppR<=uJD886xZm76!aST z%Kg5KG6~Q~$(yMylrkM`583ig2b&t2MTx^88cs=a?XsE9L6G@13D7>2ytvc)fs`53hDfNqWy2~< z8?rB6Jnf943X_MtM(-STTK{uHJb*#U15$`WyIUf~9>4EJ$@jcXCZ&E(@@=(22xsz+ z@nM!uQ_fQJc0-6Ie6w1d=ir9Wdec(1_=-dib$zTKjR$P8x@X7Bw1{y3*D&lZEG)jV)0*!uGgX9-TABWkMN+v^{bimn`Qkyl6p3~*PJ#+I(sf!xZG4vn zOwHH56snR!&vt~AU&fOn5oj_*?G6Whi;%uuhKMTp6xwpYy=?tP^7)EK>C~GqTR-!z z@TdGlO1Z+H^1uGLgQb+G{5cLJpWNE$<&~SU%Y0P|W~d+~qYPe@{0gZxUdHPJ{Nc@L zrH^{|93?blS}Oi!tJ&LWwRoZ7s-hF88_l-Fs08%%MYeeO=Xq&2y2CxZHnFUz=CU>4 zJE9iP7b@tKmhQhuw>;g2(a|-@kkE-MiT#ks4xP^C;N+h~fCQnqxDM_4R1%oJKBXZQ znvAX_^1fTx0>u^U5gZ9_VdeTbyHX5yX^q;-lxnT9XZ5UV@R7PYtyXYf6cwifp^r}I zp*u_jgc_J?80sD*-Sy1d*GKxg2v6I}w2~H+`Mal$!doz9xc!^36(damEs`W6v{v+_ zVb5Bo)I%mNSk=^NJ?Pn2@!GgfYaQ%P>-{%rz}kzD4U*y}Qh@amd=E%IpE5#*_iDlc z;R(<4_)>jS%B=`7IX0WYDndy&!#n?`q`}T-CxoBuqp!!T2So(XiAsFdPd!A;B>|O; z8<0xho&7`bjlK!SMMoz#s_TvGp)9!*uYcW`_HM+^ahNHH5LgXw#!ZRecR+aonhGol;>TyrkvZb&e z@f0pM!G7>2B&M1XbVxAvyOqyuVS32KA{b-la5yfhTDlnm?i zPUx8`T+ti$RrksjnXvzKXB&G-HP_Lt6}Ym^w=x+o0Ty!gPy!uf|8Y)c-z&O^v-Bwg}^dQ74;yy(K3ZS1RCK2s|`h2>&fGvtjnYY{FCDA^3g> zZXC;~M!-^fhO z%uvfq4>P}vpx-17XH+4(;rl`I1pq$;z|Tp5S4n^`x^@_MR3z1QTBF=xj*zG{y_-q| z@fpB4*$weY`2KSf#98)EJP~7lVgiXQSa1L)sDxfsmp6tG-p6t9@d%Rq;wsToC;QQL zFa95r^z%~Zi)ahe)m6%!!Me$|5NPg*vMY5myVimBmpt;dHbQfus~Rzjy3q=4n+p|z zq2h=BtR_fVo%c7%Y0|fo{%;l1H}gl*$H0J63UFHK^*ySFV)&9JU!WS^LVq74Rl^ax z(2G#ef>M-ff~&+>S~0+$5QRmwtJqlLcbB?G8;dKxncHa{*^MSuPfo>UC`9ib|FYn{ zBq?SNWc8#?=qHG2>v8-oWms#c>^hzOs&KJr>cqiuUqcak&n}3U=L3ORu0DoZ_r?7{&5xCCFwtwf&*f&X>*&Gg!BY3s-f;b_UUlyJPOXBLc$Dy}bBa{L0+f(O#>>u$QVCb1gcITaMN$MGsJd||kzOhxRb3@~ zqi>+6AYSwas_siEpRvv|V_AinCWg~D0UCy++HOEa@Fm{_=q&&m>}vxOAf0ny)j*(Q zb*wcFfX$slP5oh8Zh}e`Z1vD9kA$8UK9PK8|ImRq0s%H#0=q#1Yjfy~nNkneIVoA7 zoH0oHg#>6P07b#~iR7a_8#Y?B6DbqKJ6mY2^15TgZ>-m$OFn&l(%tXBq?af^El|h1 z@PU>mM_@O>&|2VY*tg;lqn}{^0z=De2kh@rWuy;VtlE1-cKBr7WxOG!&{v@HUT~a2 zws$#r&lg#m`7)k5kZGGzh0ViLgK-vd+4`_Ut_|)AV@IL%tG`2ajN2nou+h4c>pQKh z9rWcO(%lQ>-?v2_ z8IHWxrug!jfD7g$FS5ZvqtJnqL+wK%lM9xo3;|@a5!y%Z3oX$HLX#01-lYohh4(?Y zLGJ??fiDPE{@rwM?fnK>{~e{rTh>)fv+0D1Fgr@|21VrYmfDIL-60k8kGHHbSIqPW zfW0@jV!^L%!&4PlNeEkfV1Kp$i`dqe2L?$Eby2%Xm*`i5PZ4K?$l z_;0&dO7UgP@K@R=8+>`bU_Ym4_!{OX%7pGz&jJ?nhZ?#=AF=b|-7FTQy!VE@Mt6U| z^M=siE{HVw>wBSncxr!;FO~^geL2rUh_IEyQkXAf64J&rW`#TsH z4DBSxz>xmqVl0{e?=pH3!bqHV1xyXh>L!iv7$LCbq+^A^mQ#(^{|6X|Ut;mqds+n? z0T6Og{xY7&Q-Ca+Xd!HE^0&`VZR8uCYWMr!v#GSYTB6lm#e(I{#Nnxz@x-$)Eair< zqc;BAODre$vh_JzXFEfGiuLER8Mj6+v)Gi&c<@_S8|AOX8ws_}7X$TJgBSrqwRG3x z;u}IwbcfDDsLT)Tcz0+OLSz3&9%Z)d+83I>wx!e?AkPA*I~U5J|5?MzF-g7b}xB}T=B|p@fw4MPuk03Qa`1K8M@09>u+Ek*0&L^l%f@yx5<=Lv1n-0_{%s* z$H5rh$-8XrD?KGZlTY8a(2Q7w{3t1wpN)qNd%*WK`OF2nW9b+E|LlHgTA_XyiYbJa z5j|iBrXZTX1aXd2hn=4J+RTHr<1=5IdJxw%u1UY0*yx0+!pK$zU4oabO&TWHbD`areAvM_lw+5qq2D)k_AvCQxMY1x!rs^rVTgk7gyf59P*(`z zFlUn~4CTLUZS<%C^s;p}lyDR0dQTuZ3}&<+X=&RY<$K_w3SplrF`7)f&hLGd^))*^ zc-6>t*;?h10ijI1p%T^n9KI6^ib{{ggontM=pkK?LLMo;i5_ zbv1m^lJ8&b$AZ`h?Z+f{6P~J2$zl9Tka@p-tY7>uC#pc?Wcq}8Gp0eN!Cc(JSMOta z=KDOGZJ$JaX*(l?XfIh;d%mRo4{cNl3x}8TH z0AIwfcHaL0%dY&asi>LAO=-r#-i4XR14GD?iYG#5Fj~x=uE9X4+!du8R5=KxbXq6d zNKxaHsMGW1Ms~^C?&6=rA0yXlZohgELpS!~g(C~Hms&9-$oOWsnPuSlI=1eQ0s>M0A7YxG_k#IRS4~%zb$6cs;#OT)WtdcXcY1lvA(j&qgFHV?MfTv-;a$hBsH*Leo zrD0q+F7?5AZoh0zxnY&Ae60+4jgwaU#s=kUCGd@rd@&8guW{VF)TG=Oac@Xrf0Q)F zown#eTDhPLvMm~M*=mz|sBfqlXedO|B>%Rd0GeelT6_2b<>L}@ljQcrRlb(p{gpD! zgin1#rtA1$ud^g`vkuMJlLl$ch}@8t7TsIA#L7fNN=rQcsfj=55!>I_#Z<;gzAYY- zG@KoL=}WXs@qNcH?Vo|3QHGB8&vl`dk%o)y=X9#d2*c0qQ_-xhq89zbht%%nX$n;s zOeQEk8usEeMU3hxIEY{EAnZ?JuB7oDhtX~)x%uhC;MGui`9Fu*4D%;=#6bpy`zDa+ zaW9(2d=oThm7zbIaE>?I;}g*n06}Pc>_`aSs#D==m15u39Xrn-yWA7vS6TW8P%LPC z@yMUn$HA45^uo(MzdWtF`P8ND394y8ik&1nqdk7 zmt+X|6?37VkCKe`D)+^S6YY?DMXmPmq#r4OVk@79=M@^?wa*@8H5FM+{*E$I@9RB| zlnwPpmwWi86N9XZ4(pTB!3*u{bQR_f>juf|8;|I&JAHzXQ3E74*;S$dhK}PzMSm{8WSQG;M-;Q*$xFe4^`VL@i(_tk3z9reV74_ z`8G9*@x4clV*G(u_0%ZFVYhS(WnND;dEa}Wu5=dOIg7vn0Ip-3lkv<{ zFg>EtM8{}e>r>6@3?=Nxz%)peeG=*D(Tk5@?|_MFj2cHR0TpO`nkHkm3^h2y3)mW9b@q_!N%V_#nof^h;nLP)6tuVLuvQ(#t^@kgw&7T9mV`~nl)7$lCw z;dih>1yRUbJtV4`SffIR%GXhrHm6Uq@LEXv&Urx4?ARx0T6HADfIhBOHOj$I;e?nE zF^UI^VJBO)IAQ{^yw=aXLv_KG!9btjd%||(}^=F8|zXd=SfnnJ{1=5Mb zt4D;aL$-kh{d8kZMgP2H}>oUDdh^=k~v@7SWWor({(#5 zcS>C*2eLsgJLySjyd`r1%GQ_Rj3T#sr7>hv zO5L4eO<9;FZ0D@BuuVd4e(N%0PtzuOao3vlRbkg^w6m&Bl}jsPYM1gKj3~!&gw&&W? z%edOz)FYYo3Hd>}lWi6(y((YP4Uy0|IR;HDcjj``GW>M}GERC25>m3Nw zs1KwYB2dmh+`;vlh9;d*uc}Njgj`VXR8?#%cUt#&b{%Wc$!UVDr}nkRu>{TiXEdlR zw9MzwO2<y1U@Kq?f~#}W<5S$L6fz?(E8_j0N8`DvoPBb z&kZ{n-xg_Cl?B%yby}}3GlXM!eix6nh3~_oZ7w`{=?dNnZrxjw@SIz$SYQv{`5FpE zW%idC1x{AP%%5xg$+qX&FU&UK$PWEZTd=0CPjTC4x|v|Uq7uYWxeIn4{i1>F$$r{Q zHV781cW?Vb>Wy+gZ7L1a2CCgqD_Q@QZdJQ_=eF|f@*i~iN&~tByUo|;t-TAv64S=rOS^8JA|Ly7us0!d4AB?GyH7@b@^u zuvT^L9kk|e(VEYL&){|%Q_%MUVW0ZLKI#suy(F{@Mb%L?QdLvi(8F(DgglyEm;W{JYZa4PPYB0J<}RXiLr7>u5ndOq>e*eMrtPLOD? zs!@Tkx1}%{l%KaZ`CfX~V3#1T5W2$TLYGR$Qf1k~?T3WxvCW~L3@h%KTC{&ktW=V_){bi^Yx^s4^H zlwm3WvQNO5UER0#XmG^29^>bm?lcu4^aX_8R-M0eK3J1f;#&9?SKoygq2qU(UYF86 zg|JtuZ(mBxODfR>-`;BJ%?RZ5(SH8IY1VVd0q1-?oozy)Uvcin>ofb{+U@)qug(7s z*K4z$)o z9kNOs?3$^slU#+aLj6OME02HN!g}}Vu>Ni{=!3SY#8796J_v8Nt3)NR53X0iCEyus zXc>Xa>ELN+AbpU@hn!)$>POt4pfyk{px3OMhdeTfxZh8Lc9-Fw4j5@wIm+8uptgjF;%LiD#$tXFy`pDBi%|jKXC@C3(oO#XCP@x zcVu)wMp;o7#5-wg>^+VcMmBgz-A!_E@t3{EUodqbaV#h{v@O$^UMut~Y||lw83?@{ z3;!y2hEaenE1_Upsq)(nFh-o#J(;T#Uxz%)TWp1y^ury&i)pgM;Fx3UBu$SE^dH zkbad#CK**NI=B>(i3U{*9z7Z-JX@5S099)R-VW;tY4>ou-nRiBn%bk{H>>Z8-`FrT zH4?sG{7d_yRF8Fowvm z=-<@oGC|0P(SU7mLv^U;cibQI)^}O|urp3vPBu`;7fv4W9?Q-S7DewU^c1TNecw@h zwC-;fI@BQB7A*ee9g6TygumJ>Z7%jKOaVY*FoWIBjP;V(%s=SneOkz($Iz<4m- z{%%+3r`d9ZV6h%Ah|vgCX-8O=p3r*KAM_Mt)`P_dz4D#t@8Tmi6(r!XU-a^v_t_jR zEdV}2@xO-&gZnSoB;<{C_B6MyA>w#pn3d<{jMa*#~{o4!*#y4BU+z5(4pQiYG) zc6f(k+6fl_^g=&@omMr)@U_FY)2@_S$*=a>oT8Rm&3b7D`QGo--NEWB^fF7ZxYqlS zREo6{^SH_^&V_(Vv3RzwL<04;7nH#y6f8EQm_@J;dr-{(ueCP;kD|)@#;b1imW3oF z0YVb6ldxoANeE!rn*@q%Kmq{~5yB!ih#C}~;MNJ^h%-7y6bc9^jyMJsOoP~jEuai4 zf)WK~ku5A?Q7mMsN_V>Z|2x&)5a*ru|2*IKeEmG9?>%>`yPSLPx!XC~GWQb?xtJge zF9NcMF#w@s@Tia?FRO-hm~11aCOk#J(3GjA`C&z|ScDW-c<~6SiZc2(Dhnz;VO#8B zD=V-Ek0zySQAF1RkZfJ^5jDA@=9*Dp;51%pX4P@Ac}E}AGV}}@=VdJ;n4Gl>J!(*iAyybJN z2}vDtC(NN_d5jfCym7;8ZLKXIRk^x;cp*cXd_el}0B;Kp5Y&i|rC#6S%0h^G**-^0 zj1rpaPC-I5wdys>6Ts@~;o9t#p8b~ZjQpMUn(VrQ&ShYHD_OyZrJc+EK>xkHP1^J= zKPH$6kkUumhJ$!jcN`Dd4x$f!i9Ien5l4?Vg4g2FB)LfS2ls;_S4CBR$jwMmY@0dA zG>$9W%hbH|Z|x%rk{_q;vD=+p&~YxdJHUunY81ECZIriq^TZD!e~9951isPxp*n_3 zD<@?_X=Po-khAj=pYJTl_X(4w-w*PcPs~Lo@a9s>L?2{AuVrGPI}yuE+D%z-Hxo3t z&Ue3qOkA^fC@^c8c*Naa%fxhKLa){G6nu1wcO9}ov3IFKnvh>3?ot`$!!D`n5OhBV zdNAG0pj(}&m@f|TsXYRXKr?g>_zDhJBP%Pi$7C1M=HgnBr5ho-DFKDA@klY>@qKJ7 zS}MgFRKh#p>ZtNoyNRg`haL+beirwbsHs+f3GFTNeRcAEx`w9i1=!dq>Iin$D1Q%0 zGN27t66QOij{A^$CAh#I4Y-dFpXU*Cu-IvIg4kON|Fp+yj|FdNgyzDIxHhcO_C|c3 zoZiQn+^(QUsTo|C0j>I?=bm;`NF=F*QqmkIFW%Wh{0RaE)R#%>I3JqOV zz)8}2d7YH{Jp_~ep{U|;%vEPZ#FkDo%ifG;ags@IzWV>`w6#d_CcgyO$I ztiNlqXo@sKFC=LTUX{%npdnyM95zxf2gG;?Lq>5eu8L=aGOf|vfbC{tKp;f+) z`>9jFZ%3&X)^b&W|gPEa%1QSQ=oAVnwbV z!}?}txcqF3zPeImgW9CEJC;5tcVy&oef3%l`xdU&byG(FMdA0mDo4;~{sE@wsa!A* zP9sK~uhg>qeX6x8%31)yZYH}|dhrM!Gz#K15cK=z($bg3wP=Z_z)BjckVtblHkzqJ zkCnL~WO1UQs`QcIk8zyQZiI#(!FXUn0&i&3Tw8<~h_|N}Icbsc2A4aeV7U0Bnyyt-tSi?( zTpNwjkX8lav~_WIkvd;7%|pR1^Og)T3+5wOmHHM}YUwufPhzzWhRPik&k zWq?bIN;79Ms;C&t*wRNRk^E+wo=2hl#+5`3+0sWxvBQ~x;$H+!_jVb?#cEzzx7if< zJ9Rkze}=lsymW(DI=x^^L_xyiF&ODO;$ud+eX~Iu#UoLvA*lH;nxk>;n1&bH86=Vj z0Z`u|ev0d|Adh$}8ogo9H_EDWotn=DuS~uliOFinN*{Z0O$}Yiv`OTW+GeFrvz=4* z)h~-Qu>ZEGx?nZdsYdx3r}WZKxLEg<%2w)8;T-nUaU~U0Zoqt|gBwvY_))*x$KWCK zv?PGrM!(zp-dFNypq~y2au(UXXc0EPF+eyud&THtjL`=9AD9{SRgqO}g+X2dJ}L@5 zO9%TRushLJmEt5MDZhaGEI;D}wv98l&bW%6s~Y8}@d*q39>q&^$l>U91zb|NJjTED z2Sd`VUui(NX=uo4fA`vk0qTi1TeeA_V|-$oL0*jdtHk#WvP~EcqMZi-$ zG_M$-bF&!#&w28YkxVt+UM$*j;m{3p@>8loB%zc^hbI+*IIH8s<;V zT`t9pF13DGnt_mSdZnqq@Z;K3C5QZ+G$^q8^sPpv{l7r! zP?Pc`#OeORPb?t5B*0q`14nC6;$mY8JB~4zXO{1Y-ID`xdmL+MQhGXXHqA@yUj7aw zAe~W*s+h~923<4hY44ydwm9ED#b-nY5~I)g7PQh&r+9OEtUC+}+uol8(gJlv0sFi6 z+#I1Q9at!^#omOu7-@m&f#EJ*x6}NXNXi~{71|>67vQg_`PseSYk>kTq=wx|#8?3= z0;w5AO6@IRK}ZeV2iV_$F)8j0-!GC#juN9RQewYvlV+UZ2O35pNWDk;=NUe=C;3x2 z@(&cH404G0t}$aK;zF?jv;BPZ{J0i?)O?0dnWTgMU(!ovkUzrE3Z+A`%N2}4M|Fi^ zm@$DXEdvAB0|`=-5dGiO^&3XdRp?^@9c3i!CAF=$z-V@7DEItoi zRz^q~e!Wf_dX8TjOqZglgYT_#oH)lv@@%GCy57i-3mxDVtW)Od&6kwEZmIA*|7@<> zLN3?A(Gf$v4zLywsBRsm@3X*b09Am4fKLE>0XqToA(J-6OTicT#cXMdRCR$jCsrcW zAJr}ubaU@M=MsfW`>dYN6dCpFnfqgX!#lik7 z>{O8bFc}@2#ZJneG`wsS<+BX8y*||x@b-wtprAXiT*aJ(1{yQ`| z?Gd$#7ZT4a#}T3`gZx)#-19G-i2xQYz&8kB(E=2<3NRQNe$xd?pbq}&nUuib%s>JO zHuD_v`&dny6KhSf1>E0J3z0F4j{MfBQs+y24`lKcRT|^7J+4YG`D_zZY11V>6~04N z>6c3w70xTks$^>7Mc4#Y8rOuAs?%O+HJNo@=~5Gzh)Z|}Aek@o(ZN(jwD0Ue`(Nf` z*(Y_5$(Q+;*i@>gKpVDh*RSDz0T7KkjsU~}%m6Rkc4BLi3mU>vn^W6T+faL~15n#s z2E@aCPo1OxHR!P5FH6&Y*g&|o2pdR?(xLR|`xKYHOEeXrr=74<9>0c3>C^Y9 z-Kouqz5@B9Z&Ds9&wJob2aHdBUVn4ioAo!xzF8l1^T{`fv`}xeeuIpzt6u5sZ;)(r z!n^!BA0H8lSX2Vq|GWrsKg-G>WW{ow4@G53W3KbrWG=l9X=6m+GC*5kf~hidWuxRe z>AUOvtXPXzpnHJ{)8-ygi!zp3;;fyfQ+j>9QsM9Xq}kLE+R_py3zw87IG>`6wTp2& z)wZxLY_?Wc>Vgx(a?e4$2=x))UDk8B14h`ryR0tdU-h&g{snD{Oy*;4 zd%eEwY4G3T_lJNnV4vK@DD*fAZSz078JKV%0<8827?{ur*s{AA1s`-b@tB5Wh(QSV zvTh6-y}9LWa~qY;+I6Ov?c~F}+;Z{>g?psto&a<_nO>(%3{|+7rcXH@w44^&P<=U^j30MdfGQbfvcxL?4&XmeaavPl?Co6rNFg zyhSR$XIo3{diiZE_VmzPHxxog^zutB5p~clu`tQbC*cN7I@e{^*@1akqF#{S#1+;* z_;g!s^Yl4-+2O>p1YBW{JiTn!?Eh+}#XnzFQ;Oj7dsuIRU0?e$?8C5S(5InT?3}BI z(#{9L>+0oxEnMAk@thT^1HoP*AuZBrI%m8rn_EVh_v+bv-sDE z`Y<^L$-UbUg3T0WUbQ7!#U2Dvj_HVfWrq1ha7~t69C?3?65-1 z7%F~|{ZXoJEWq{hkmkX86)?|X(xWTwT$kBrvmoFG{x0drO>BC`L|8m3@Fn85xg>|mv5?6htB3= zg#Jy9K^@-G>{lRDss?-<(ki2r&Z!CbKTRtuy?j!W!=jg8L>5*z1Zm}82K#%E$cA0H zH@R-Rnuwl0!oCGZ}=d${AtAqt{naFNNAj6U(0$|Xi%C2>(%;Fdby=EV{-4g<>K^w8-{hXi_g zUb8{0xu!>Hqu`Pbmkzk-V1^k2JQ?PA^^2x(@yjMd?ry-&rq&(nT6ZX`=pt>u4KYoJ zR4mMq@;6w~Qpz21(ou6whm`kd%V@p44?;lb3)_Ix7dVJ_lqdEC zJcr}T_C{>WNf`GS(? zyr3v9At3?1Imam^m|+g1%ir@EM)_kHdT<%iB_%3+dhbRhN=x${H8de`4cv+F6)t^J za^VLRR45LG+TiI5lG00+3g3a<@Jg!`zKd-Ml!fSJ-Wg+`xg;WUX2l;(k)yXljyvs- z-}1D9-_hm>d&J-#@M&|`r^yonpG)M^mwdp`YAOn7SRvWvbgIr(yp~f7dl9OM^hnOv zs;f;wo6)%SYguidg!4t6QRcjQ+zXaQsJyu|Rc$VS&i}Kvh8BcsK^G&a9)gb~2-Zpr zCR$#hg^@M^@8xd}@WBjOz6{}PX8UAF!-=q5RA%nTfH>OE{pxyeE05Jn9+e*&Mp;<^ zpn(CBcyNd}*G8-?Wj&A{6{T!zGUULpwe&u4i! zM6T}&`&RTFAO22#AK+gBhZKpi_jT5bOGIh6lOLQgMy-X^&$RhPkc&MLtKx|`64lAo zSc}r-NxeKyigQ7tXQDLB1-b3RqzNv*dtzF>v4HOqxA0%!-4EZbRXjh&N4%H`7i^c_ zb@A;7KIq;C)n7W<<$kpgawQW=G0_fpzcQA({ZQD?VL5A&>nkb?Vi$6$oLpN$1F{a= z7SeSWZ|?B}9y{o&Xko3BfAN;veW`t=Ew%Bwi!>OTy-I>hC;#S^2Db3={hck9;x=Sl zC$Gn{S%<9|dPu*tqBOr0m)*R|CeO6OBGc-AbIM|@)S+z^ zF&;QPCVxyG#7U&%(zOS9sv0|X&_XV)&tb|*ne<}|-@ErzZ!(&9NS=-kJCnyXtbIy5 zd4JUlB{haS`=XLcH#QB*ALLJ_Sjuqo8BtlDflZWN9X2L+S-;cG2{h9L5$?nT{4 zR}i~oa)s~TC+odzRDks9Tk6(sICluCgMdy5Q|=-?;Njnh3}k{nM2Qt`m+pA@xw_km zxmlX!<>z&7YH@<2>y#h0BxwsjsCsi$g|Gv&kfkFY3oARN>s~Oz?|GMnvCbn-B9RjS z`YLrcO7s}ub0mBmrU~q15QHIb1^!YrF+&W4+8z(aVJkCFo^e6h?g8`M#dgxkRrx68 zZ!V_k7io(Ft|w(3vqH+=G4Es6t)L@3_rzX0TCq=p$XAiix8AbNUPU`HL|3}xT>qRN zW}}ng0Y{)JPN9>W(>e;8ruNX4sH9Z|`SEd92$6hSu!f2eZ!=qUOnr1$;m*FucW|R- zaM##UgO!udE?SDk_g+EMc9*4-hhQ5Z9Sxj^8%3NP{qo;)JJ7AKu@{wb+gbJcYl85> z?bYK<1G&^af7CD1t)dRlQW3ODxW+<$jD0v~nag#1!icDzmYe^oxYm=q`F@2B_H`8- zMBBe_c=W|$Ir9FoGe!Ih%#WPLmCwTb#%Wmj49tH!v8k74xp}05RQ0M5)?GPV2Q}tK z>}?xX=;Q&;$3eIj)XodVIvhmNgsPK&bY5pWWm_Z#Ig69o8`4xad@#_2{n!3kCTPMcCecN>_bK&8@}L z?YH^rL#nwd&T52hB=+NV@^?5C(8=?`9dh#DDth5V)y=-D#|h+)Yh9<|ae8#{1aWH9 z260ML_)5z3P85O)v&|W{5+e%^h3LkcPOiZ@g-)LC5~}F6&|gp(ybH1^9Z;HWwE|R9 zUc@87;UY~AZT?gmGx9(GZfQCJoBOBIM0jG7;vt7amxNNWaS^MYTGlip{#L^qP1N71 zZ&P=B1%161unn*aun%xD+?Ho~GV96DGyl=e`6hP?^!8{j4&aO=u9NXWyR z;YL(W(?*C!fDkfqvh507T=Fa=RW9aWyDc>WP4So9khd==k?xRca-EFkcp3!=_TlMq zHaPIO#`~!|=(cla2webA%WMc;Nz{JS6|;rZ+>$+{Ik-Bss$j$;c@ZnxpfmE&r@;%< zDei^y=_fbq-)7aORZ%0pyp|XAP{GQP9gk1W?b6i7pGo=Tr2I)>b8)-L zd9{hJwoTO9`2@}ebn+T-zGn}Q&mW(6y(y|%x9aWeia#6`EY))Jvx>23miN@LW5yN~ z<$k8Ei#m(LMq`nvrejWDstynf5TZ|Wfb%6X%tA?*xA^&9tX?lDZ)#Y84Ewo<*br%f zmc)EjSUCt-+dn0dj7ZPiO`B232gE74n?gHa zqg$oW(W%^QiLQRyeqWw|zC69T6OJ`3sEY{cTRj^vItas8V3y#Z(>X93bcZ`>0wO+P zG3?6#D* zWvbNQ>jFKJ79KQz3GzJRple`U zcRp!{Xd5aH!J8%B(u#aM!ib0}v*pvH7k(-C_8B#F5j|NGv?D_us_?1i@;X*c2k=~) zMcz_j#?cvX03(9~x;3h)^nLNp=CXo#>$+LB$Mq%go!xdmmJPQ3vz6%dr&*=?xTv!CRJ8H6> z!-!RSSa&;qLPWoR*gM$I$8l+GP7cQN{JKkZArX&3HC*9DHB{PU_NH=XAAC@}MSCHdC+W+B-ly zc_et%TgopUbGcEw{QW+*3`REjRuRJzqEO0f%~a+%`>=$zz%FPQb-`yo9i|PpOPq6> z!t$sHTT~mD98=#_e7~uy_#T*WqJGl)PQ-Q`ghGTy!#us0p4H9AanTgPaTWFVh=^#& zmj7yP6kg&A{EMKVn5Aqf4+=8*Dd%g3QjTtte=UMnUbJ_bAAAkAwoI7BA%>f5YJuO8Q}cyw8OtW6tpAAA9!2S&K~zY z+OZkL+&>Y|_iAh6`C7w>c*_06Gp~7`Mm&NnVYTvqLOVY8!ACn;Tf1W+cR~5o)@nE` z!2?^&l77ixB?-j(EV+vjqtNT_!&KT^N`c7cvr1>p&9`EX2Hsx7X~pbB?+>i7+ccOV zY2kuWs--s`JIF!69#nf%0NPNdIIC?m+`*xGEAJnW#Eo_J)f`#OnpsDj6LZEK9{?e z6A`iN@^yp(h+sJPLfGqV*>-kzyJJHn z%jXT0_~o6FGm1US8>RWtEQPhWq}9=EQgGngXSYk9XqFs&UP)68HQGT;dOLQDZNxcf zd-fR)WYU_Y)9u+jcCcA0?7(u9C?@4z@p{AQ17`tV#R7Q*xOIZPy)Zdga&%z##hzC- zVox!mj)S1`vR%Pr(yi%sQ{H*ypQHH5_Re7_)kuoA>+++@ZZyS#q}Oe+=8K)xfVi9WQlc zVGR1QeL1I(`65a0#<6j>_9RyVQ|@`Zl8eqguk>?A7ewdsy<>2Cd0y$|&JZKvI`!^T zVVaJ^;L=B;0S*)R}d%HR9sQm4+ZZHKtT3APxE(YYm`> z{jN-`bXLV}&o-XGhHP5zRJ8#MY?beEi-dNW9vdxu5`HLr-?Gc$5KkV?Z5vtf$Ga8n zn@#BYJEt}ghB{u z^@h|mDi3SyQdc~(FMR)ly55-d8L(khnZ2+L@GQL49Sk=r$ zV79Vn=W;30IP7>(Q5?hiEMcm`%e1wANKU zZ-dU`gxoxk>oOOW^|G_WpM<5fL!?Kv3{eu1z@}8@~?T;nv4@Tm>97Lwv% zu4ia{240F-;WrrTW|+b9e%0sjh4itRb+p{)yj=HS;d!MQ{*%x<`9JN5PCx}5)sF3` zI>rI}&VPtUleMZW@Jhi6EFSWJjW>$8MqEHK-bja;;yffSYT~>05Z`S=-Z`)0#8%6& zTMIv>k_^Uc%oFbZg`4d6=8_DtZ|-UTx8fkCybmznw+ig(qNrnDe;svrR60f z#4cZMZ1(PX-GF|sp;7iO&^6d!i$GLK6ICZEG~x$74FsXCY8MIDrXskSyvWq4+VEu>eeS6{;xAT>L+a9|Ac4GFK+ zJ}w4n&)X8*;RnP2{SJEZD*!HmWPM!pSTU@<-b|wdkxuPa?o%DwZhdp;U1iXTVhALK zJTA6@tdKT01YLA9A0E>W`i0+D&1Gs+vUo)~;S%tC%j+VI&|Li;XjXLtg#4)Tj>Zx7 z^b9`=hoI5*($z#38@t18#s)ne%i^}k$$FDEHk!eg-r6m7PGapD!u3yL{o8eKNf2)| ztx?0%C#M;Xnes>%ODCx^iAC9H=%nG41Yel8@}dAb`T*-DlZC-Hx2`M3^6KB|3_Ki4 zF2dpVjy4DQ8i(XHH7eGCFbQxGjmmTlyLaM^27)jNs?+>d^Fr3vo){kVniih zYFPVL=@XsIku~RPI$^Me!QrxoKZHYShFinL0I7;?cC;mMmEXhAzmE2Rqs z6rP4A%2F9#D?W~ekdIueTzARU270}*ndmj9#VVe|&~CyWEmv>-ACaED7YT7PGE!dr~X@b&fU;EY(~J>~nDPd0MgkT|=YnRtyUvZpz3$ zZ>qM=cxcPL0mY07;54ojkqdF73Bcz1W17*Hi5eC7NH8uh2ENn$pXrd-9@r#**l3I; z$27a2Tx}43(x{j;CmI!rdU*}=O%kf|Q42llHp2aam(+q#wf=b;z^!;ROFHEFJT3-n z6`a&N_B4e=8i9hMD|%%Otx+ z#C-tEYpP&j@`0A|`6YRHPA1ztXVe#@x4NUFu4%E_si$pAtElae3pSFllDMLxj z(qzB&PMgq>Q;=EevO-WyVm3}$`F@$!;e|U&xt=+Pd*dcy8+nfVmF#QcB5}|UIdLa9 z3wY7aZnZqM@fvHBBE}-qgG$@ro-CI*$a-09qYCEdty}CFqnFJ_Q}`-zxYm*%xoGw- zz?JqMsPMHK7KKu%N{*W+b)kjJ*?ZN3F>CI#|z!p&ue1q5Lk2KZCi>Jg_(F{0tFb`SGOft+cIQ$)x# zob7>m3&Xhzh{EG%hQr4=V=9;tetirYs4b2+W44gGbPuGLCy1&&x-c5qyG@ie-CxpR z>=-m+@1Mw!s3i>87aA6z7Ap?3gR#t|M=mt>{p2y>|2@y0ChCfm!6~iBJh;u(##3oi z3Ttm04z==~unA^wNfgsWetj}BwhLlf*4mjkF^ON<2E+Oecey>Y+^oq;$wXMUn>K7Y zcM1;T|4=ry&>0K4Txn_6zDevb(JW0Fq;n|>aYzmTSvplR)JNg)$)N{M&w5c8*Ni4&qkw13J;!z#P3i*^S`y1stZ4-~HZ?J#QMrnxPYQzn;hvL_ zRNg(8cM0`^bu}svxD&)EtykPz7Gk3TVwfBK%R(;g z62xoMkeaH^-dx&g;56HQ-+a7@`XcpLlsR1MwA3-F%=1&E6Frz18Q?uAAXQ9Ez0{{C zOANjjQJUHl{p6_gobtT4sIC!%c?>?bu?0F4y+UGp?HUQ6*balf2g^kUO*N5uQLxu| zVi5YPmbSS~P2qXLaLH^Ym)$L31R*ptM>YvWu(d70Nbi*vkFwHxMC(74;a5@rq_A}s z?1SsM5c4uPtOsmQkvu(FR>*9Ok8FKMB)eQ1-ixL1Mbcxvut4nTZ~b6&N^g?0iPpAM zFe~Cx!ia?|dzInnkmTpZ&Pv4A$U-Mn@w7#1X^6kYKF1uM&ks7e8T;jCmO#7YTil7| zAq7FX<3X{(1szMl2WVT{psMX&CD9p?XrQWy+7;;i0gB10mtuRfzA=Au(!M!uW8T1L ze+5uGT-hzn?#;|`yRkLPneNZ$*9X;jlwYxenRbd_-xnFWCcWRAP2(#iKAqiXO7eEB zIfwIIDLI|R_c^EhI-A&; z+ycXSV7Si1(2kl$*Kk}-XFu?U)5@{!xSIDxA6zVid9@E48hMs#5Z6v=YkX49S*6=< z$G}W%1+n$3q&xlCjM$Bke0zdeNqQLC%bbUGRUZKg(xbKXB~sj|hhS zXpaLaj+X|oe=yxCrDTT`F_^8O4{aFCdL^GyrtHA#4%hTwsSRCIDVnX}Vta9gcnS;8 zuJ%-sPcU0gDFqj;5z7EdpKd!6aJglpl7W*MohoHdWZ&sdE3=!W=m%I|*z%hl zg%7Z`y2wYrWTv=%%rqS)*)M(}c^+cB*;^jR?kTJfADoL+4g%iVB{?5vuXp~$oenvE zLtIyyVhR$(IBU43g~EI@9S4R#F_<}kxJH&^x_Qp`@o!;1 zeExrCB+Bo$)gO*B`v&ZR42(bLnEDs?oGvlF2lOEK!p$K-7N8VR2$+H*mjG&eNzUh) zldbM0-FbmsW&3(L8ee386WR~C!c0cMSb%hynet%fTyZR_WF9nAreo2|EStA2{GFM+ z*L*P3Zyycwen3Jizd)G(G(!;P#&uu(pJDFx+y67%|ALP-z42cnJo;bU{+9&qW}f_q zUw15hg{?9r5?Q79!!!%f0lx2x?gh6WVV(s3Z9m7Df3QXt`7w~c1Lgse5#XVIj_kLX zM~IAqgAs5T)qe}Ibp0ITma$7h=MF#w!0!ls7O(^GDPSF7aX-hzl`K`)c?SY~0C*Mf zB;X-H0iZV^uCL?WHEgya>=K>3HcQ=y8uGN22>I zc$5IjVV9~_vR=~cEiB9-f6U5-&SrRY0Z=DNhDjafSETX+@YgdPfBlsGLkKRX0D$yN zN9Qf9j}Ti0-xYvdIF0~V0DS?4{Ty>YXJNYdto}Na0tW$R1YioF6wm=6_1eLDIlkD= zuJU1Nz;gf=Kv93k_MJ@Bg;7T7`&0nrA#5+(z_SJY9NWKOi-h0>2s#f?-p?WKXTbu~ zWlH0|VF#G|kkt7AOO9@=$5yh4mpi3UWUSKm^SVLO?X29_-lkJ-aGI?i-8-X-*u*jp%p3>4R5#jTr3M@FSb6XC{lI{c+TFuZuZ? zDaj9yWeX-evTMo^>50)DWh{=Xn8_f1co8?Q!1ety%<<1(*d1o*V!{5Q#qsVLHkS|1 z8!MPb1B%B=k>}Vy4d!nJQ|&=%`#H8;cU0N$a+EZ(3O@d*qI0E-Df2MVdy_kHa-NG% z^eRKWMDj(npQqf+=W;ZL+MNf3+ z#B+zUb|pbms_SqX1gOp0>uAq};P7Be4hR5c%e6RC+X~Mz+seNPrhd=-8NPY`&-j1( z|3Ab#nErbPr2f&uQ-&hsv`dy_Q?$@WFi_UZEsjeagfJnN5M1pT#&KXaxU<7>{KIiv z(SVp7DJ)L-gUzxySiCUK6ub{fTmam%IHq<05ROxaQLatdpe(>yi(|teVKdXp6_ewbF;oC0QV1Y(MWMeC8?;|A^#sfT zyawR&IC%|3=C%7;+MXv&?p%n*Z)=Bpu2XpwTNkAoF*uc(nqBIXFZ9xAHU3*^cD}HR zs0O|4D$h#xIIb58Lv<`B+tI67*d&nUbcqngv$l4}^$9|(j+sU{f+q_tIyQN?!!%7; z&PS(u{wG;Un@fcYS+yF$mH7!S6EFQ{AuEvT2XTCK4wqDVK>6Um5T*3pbfJCwD$Pgr z#~!4~nwz!P=V$w`evWQ41Ov~$o+kC0DfF?~-xW*`zt5Q_%;!w=0sWrkOqJ_6)0Gc7 z)6tJOQ_uBq+s&CC|B5p$1n^yXQ=05^c=wR)~&lVFO3 zxp66Hy7&%fy78_L*1XM`^neL~w*apI9swXIX94JtWE$e?VDIfuV|bG!J|^@EI%VN; z>I4xa(uS*S!&!S zT#|(CLb3G9cDOx2zMpItBKbCs-P?tAy!75qVWafWE@6iwdbd!b4_-G2I)bpCC`px` z`cg;@I}AH9`||x7BIDf;%_?O1?G%&%dJ zFav&bV2`-p7tRbb0}p+;5?I>6<4M3QzvlvR8au?ZH6$fO&yg${XDKq=z^lj5y_8>JaA$-l-QEnvcl z`wVW>BAO|+wF)^2U&D#A9WW`_VYpGfX(oL&&UO|~lxo1FHogqE*3||lsP*u*e;{|1 zbPL>Q+z6Odo5aXb&I2Z_Tm)#6(hZp87VmSj1uWzSMcBS-4VdKC7jBfnfJrqr7;ddi z3YY753z+0q0ynCbfJttlU_T=O40Z+@gXZ;607`O#M^g`j&(d!Ctgz1m zP?eH@IqWY0h^Q#c>#$b=$dBM1*jE6kNeN#I`#J!Hwb36fbZNXLPr_^9`3WF^*TVjp zKLYu0gMDW!|2?qpYvunn?1A^wj>8%fUb_{s0sx6qFlCkRptL zUoL>`1ck5{0Vpm(3G9;qfdWi{y|fj+1Oz<`IK`zfR@mnOwDu>5G9U|D1t^F81wfz* zD_~y?ps*ATy+DTj@&H`Z4LIC_ zg9@OLP5XgpbO0LFr0I_e(T>#zg;^$TR$hSSIXg%SJ}UIex5B lib_deps = ${common.lib_deps} SoftwareSerialM=https://github.com/FYSETC/SoftwareSerialM/archive/master.zip lib_ignore = Adafruit NeoPixel, SPI - +upload_protocol = custom +upload_flags = + 192.168.0.107 # # MKS Robin (STM32F103ZET6) #